• Title/Summary/Keyword: Poly-Si thin-film transistor

Search Result 122, Processing Time 0.025 seconds

A Novel Bottom-Gate Poly-Si Thin Film Transistors with High ON/OFF Current Ratio (ON/OFF 전류비를 향상시킨 새로운 bottom-gate 구조의 다결정 실리콘 박막 트랜지스터)

  • Jeon, Jae-Hong;Choe, Gwon-Yeong;Park, Gi-Chan;Han, Min-Gu
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.48 no.5
    • /
    • pp.315-318
    • /
    • 1999
  • We have proposed and fabricated the new bottom-gated polycrystalline silicon (poly-Si) thin film transistor (TFT) with a partial amorphous-Si region by employing the selective laser annealing. The channel layer of the proposed TFTs is composed of poly-Si region in the center and a-Si region in the edge. The TEM image shows that the local a-Si region is successfully fabricated by the effective cut out of the incident laser light in the upper a-Si layer. Our experimental results show that the ON/OFF current ratio is increased significantly by more than three orders in the new poly-Si TFT compared with conventional poly-Si TFT. The leakage current is decreased significantly due to the highly resistive a-Si re TFTs while the ON-series resistance of the local a-Si is reduced significantly due to the considerable inducement of electron carriers by the positive gate bias, so that the ON-current is not decreased much.

  • PDF

Fabrication of excimer laser annealed poly-Si thin film transistor using polymer substrates

  • Kang, Soo-Hee;Kim, Yong-Hoon;Han, Jin-Woo;Seo, Dae-Shik;Han, Jeong-In
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.1162-1165
    • /
    • 2006
  • In this paper, the characteristics of polycrystalline silicon thin-film transistors (poly- Si TFTs) fabricated on polymer substrates are investigated. The a-Si films was laser annealed by using a XeCl excimer laser and a four-mask-processed poly-Si TFT was fabricated with fully self-aligned top gate structure. The fabricated nMOS TFT showed field-effect mobility of ${\sim}30\;cm^2/Vs$, on/off ratio of $10^5$ and threshold voltage of 5 V.

  • PDF

LASER Crystallization System for Poly-Si

  • Lee, Ho-Nyeon
    • Information Display
    • /
    • v.7 no.2
    • /
    • pp.10-13
    • /
    • 2006
  • Active Matrix Flat Panel Display(AM-FPD)의 경쟁력 향상을 위해서 반드시 필요한 고성능, 고생산성 Thin Film Transistor(TFT)를 제작에 사용하는 결정화 방법 중, 산업화에 가장 근접한 레이저 결정화 방법 및 장비에 대해서 기술한다.

The Characteristics of High Temperature Crystallized Poly-Si for Thin Film Transistor Application (박막트랜지스터 응용을 위한 고온 결정화된 다결정실리콘의 특성평가)

  • 김도영;심명석;서창기;이준신
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.53 no.5
    • /
    • pp.237-241
    • /
    • 2004
  • Amorphous silicon (a-Si) films are used in a broad range of solar cell, flat panel display, and sensor. Because of the greater ease of deposition and lower processing temperature, thin films are widely used for thin film transistors (TFTs). However, they have lower stability under the exposure of visible light and because of their low field effect mobility ($\mu$$_{FE}$ ) , less than 1 c $m^2$/Vs, they require a driving IC in the external circuits. On the other hand, polycrystalline silicon (poly-Si) thin films have superiority in $\mu$$_{FE}$ and optical stability in comparison to a-Si film. Many researches have been done to obtain high performance poly-Si because conventional methods such as excimer laser annealing, solid phase crystallization and metal induced crystallization have several difficulties to crystallize. In this paper, a new crystallization process using a molybdenum substrate has been proposed. As we use a flexible substrate, high temperature treatment and roll-to-roll process are possible. We have used a high temperature process above 75$0^{\circ}C$ to obtain poly-Si films on molybdenum substrates by a rapid thermal annealing (RTA) of the amorphous silicon (a-Si) layers. The properties of high temperature crystallized poly-Si studied, and poly-Si has been used for the fabrication of TFT. By this method, we are able to achieve high crystal volume fraction as well as high field effect mobility.

A Research About P-type Polycrystalline Silicon Thin Film Transistors of Low Temperature with Metal Gate Electrode and High Temperature with Gate Poly Silicon (실리콘 게이트전극을 갖는 고온소자와 금속 게이트전극을 갖는 P형 저온 다결정 실리콘 박막 트랜지스터의 전기특성 비교 연구)

  • Lee, Jin-Min
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.6
    • /
    • pp.433-439
    • /
    • 2011
  • Poly Si TFTs (poly silicon thin film transistors) with p channel those are annealed HT (high temperature) with gate poly crystalline silicon and LT (low temperature) with metal gate electrode were fabricated on quartz substrate using the analyzed data and compared according to the activated grade silicon thin films and the size of device channel. The electrical characteristics of HT poly-Si TFTs increased those are the on current, electron mobility and decrease threshold voltage by the quality of particles of active thin films annealed at high temperature. But the on/off current ratio reduced by increase of the off current depend on the hot carrier applied to high gate voltage. Even though the size of the particles annealed at low temperature are bigger than HT poly-Si TFTs due to defect in the activated grade poly crystal silicon and the grain boundary, the characteristics of LT poly-Si TFTs were investigated deterioration phenomena those are decrease the electric off current, electron mobility and increase threshold voltage. The results of transconductance show that slope depend on the quality of particles and the amplitude depend on the size of the active silicon particles.

Fabrication of Thin Film Transistor on PES substrate using Sequential Lateral Solidification Crystallized Poly-Si Films

  • Kim, Yong-Hae;Chung, Choong-Heui;Yun, Sun-Jin;Park, Dong-Jin;Kim, Dae-Won;Lim, Jung-Wook;Song, Yoon-Ho;Moon, Jae-Hyun;Lee, Jin-Ho
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.269-271
    • /
    • 2005
  • Using optimized sputtering condition of a-Si and $SiO_2$ thin film, we can obtained the large grained poly-Si film on PES substrate. The gate dielectric grown by plasma enhanced atomic layer deposition, laser activation and organic interlayer dielectric material make TFTs on PES possible with mobility of $11cm^2/Vs$ (nMOS) and $7cm_2/Vs$ (pMOS).

  • PDF

Poly-Si Thin Film Transistor with poly-Si/a-Si Double Active Layer Fabricated by Employing Native Oxide and Excimer Laser Annealing (자연 산화막과 엑시머 레이저를 이용한 Poly-Si/a-Si 이중 박막 다결정 실리콘 박막 트랜지스터)

  • Park, Gi-Chan;Park, Jin-U;Jeong, Sang-Hun;Han, Min-Gu
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.49 no.1
    • /
    • pp.24-29
    • /
    • 2000
  • We propose a simple method to control the crystallization depth of amorphous silicon (a-Si) deposited by PECVD or LPCVD during the excimer laser annealing (ELA). Employing the new method, we have formed poly-Si/a-Si double film and fabricated a new poly-Si TFT with vertical a-Si offsets between the poly-Si channel and the source/drain of TFT without any additional photo-lithography process. The maximum leakage current of the new poly-Si TFT decreased about 80% due to the highly resistive vertical a-Si offsets which reduce the peak electric field in drain depletion region and suppress electron-hole pair generation. In ON state, current flows spreading down through broad a-Si cross-section in the vertical a-Si offsets and the current density in the drain depletion region where large electric field is applied is reduced. The stability of poly-Si TFT has been improved noticeably by suppressing trap state generation in drain region which is caused by high current density and large electric field. For example, ON current of the new TFT decreased only 7% at a stress condition where ON current of conventional TFT decreased 89%.

  • PDF

The characteristics of poly-Si TFTs with various LDD (LDD 길이 변화에 따른 poly-Si TFT의 특징)

  • Son, Hyuk-Joo;Kim, Jae-Hong;Lee, Jeoung-In;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.93-94
    • /
    • 2007
  • 다양한 LDD(lightly doped drain)에 따른 n-channel poly-Si TFT (thin film transistor)에 대하여 보고한다. 유리 기판 위에 ELA를 이용하여 만들어진 Polycrystalline silicon (poly-Si)은 TFT-LCD의 응용을 위한 재료로써 우수한 특성을 갖는다. 제작된 n-channel TFT는 절연층으로 $SiN_x$, $SiO_2$의 이중 구조를 갖는다. 다양한 LDD에 따른 n-channel poly-Si TFT의 문턱전압($V_{TH}$), ON/OFF 전류비 ($I_{ON}/I_{OFF}$), 포화전류($I_{DSAT}$)는 TFT의 보다 좋은 성능을 위해 연구된다. 짧은 LLD 길이를 가진 n-channel poly-Si TFT의 문턱전압은 작고, 포화전류의 값은 크다. 또한 긴 LLD 길이를 가진 n-channel poly-Si TFT는 작은 kink effect를 가진다.

  • PDF

Electrical characteristics of 3-D stacked CMOS Inverters using laser crystallization method (레이저 결정화 방법을 적용한 3차원 적층 CMOS 인버터의 전기적 특성 개선)

  • Lee, Woo-Hyun;Cho, Won-Ju;Oh, Soon-Young;Ahn, Chang-Geun;Jung, Jong-Wan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.118-119
    • /
    • 2007
  • High performance three-dimensional (3-D) stacked poly-Si complementary metal-oxide semiconductor (CMOS) inverters with a high quality laser crystallized channel were fabricated. Low temperature crystallization methods of a-Si film using the excimer-laser annealing (ELA) and sequential lateral solidification (SLS) were performed. The NMOS thin-film-transistor (TFT) at lower layer of CMOS was fabricated on oxidized bulk Si substrate, and the PMOS TFT at upper layer of CMOS was fabricated on interlayer dielectric film. The 3-D stacked poly-Si CMOS inverter showed excellent electrical characteristics and was enough for the vertical integrated CMOS applications.

  • PDF

The Analysis of Degradation Characteristics in Poly-Silicon Thin film Transistor Formed by Solid Phase Crystallization (고상 결정화로 제작한 다결성 실리콘 박막 트랜지스터에서의 열화특성 분석)

  • 정은식;이용재
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.1
    • /
    • pp.26-32
    • /
    • 2003
  • Then-channel poly-Si thin-film transistors (poly-Si TFT's) formed by solid phase crystallization (SPC) method on glass were measured to obtain the electrical parameters such as of I-V characteristics, mobility, leakage current, threshold voltage, and subthreshold slope. Then, devices were analyzed to obtain the reliability and appliability on TFT-LCD with large-size and high density. In n-channel poly-Si TFT with 5$\mu\textrm{m}$/2$\mu\textrm{m}$, 8$\mu\textrm{m}$, 30$\mu\textrm{m}$ devices of channel width/length, the field effect mobilities are 111, 116, 125 $\textrm{cm}^2$/V-s and leakage currents are 0.6, 0.1, and 0.02 pA/$\mu\textrm{m}$, respectively. Low threshold voltage and subthreshold slope, and good ON-OFF ratio are shown, as well. Thus. the poly-Si TFT's used by SPC are expected to be applied on TFT-LCD with large-size and high density, which can integrate the display panel and peripheral circuit on a targe glass substrate.