• Title/Summary/Keyword: Plasma etch rate

Search Result 381, Processing Time 0.033 seconds

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 po1yimide 박막의 패터닝 연구)

  • 강필승;김창일;김상기
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI film was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was 8300$\AA$/min and vertical profile was approximately acquired 90$^{\circ}$ at CF$_4$/(CF$_4$+O$_2$) of 0.2. The selectivies of polyimide to PR and SiO$_2$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of 07CF4 were investigated by optical emission spectrometer (OES).

  • PDF

dual frequency ICP 에서의 frequency 조합과 capacitance 변화에 따른 $SiO_2$ 및 poly-Si 식각특성

  • Kim, Jin-Ho;Kim, Hui-Dae;Lee, Nae-Eung;Heo, Seung-Hoe;Jang, Gi-Myeong;Nam, Chang-Gil;Son, Jong-Won
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2007.04a
    • /
    • pp.132-133
    • /
    • 2007
  • 2개의 주파수가 인가된 유도결합 플라즈마(ICP)를 이용하여 주파수 조합(13.56 or 27.12/2MHz)과 안테나의 캐패시턴스 변화에 따른 $SiO_2$ 와 poly-Si 의 식각특성을 연구하였다. 본 실험의 결과로, 27.12 MHz에서 plasma density가 높다는 것과 13.56 MHz에서 center high profile이 쉽게 형성됨을 알 수 있었다. $SiO_2$ 식각에서는 non-uniformity와 etch rate모두 27.12 MHz가 13.56 MHz보다 높다는 것을 알 수 있었고, poly-Si 식각에서는 non-uniformity와 etch rate모두 비슷한 경향을 나타낸다는 것을 알 수 있었다.

  • PDF

Etching Characteristics of YMnO3 Thin Films in Cl Based Inductively Coupled Plasma

  • Kim, Dong-Pyo;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.4 no.2
    • /
    • pp.29-34
    • /
    • 2003
  • Ferroelectric YMnO$_3$ thin films were etched with Ar/C1$_2$ and CF$_4$/C1$_2$ Plasma. The maximum etch rate of YMnO$_3$ thin film was 300 $\AA$/min at a Cl$_2$/Ar gas mixing ratio of 8/2, an RF power of 800 W, a do bias of-200 V, a chamber pressure of 15 mTorr, and a substrate temperature of 3$0^{\circ}C$. From the X-ray photoelectron spectroscopy (XPS) analysis, yttrium was not only etched by chemical reactions with Cl atoms, but also assisted by Ar ion bombardments in Ar/C1$_2$ plasma. In CF$_4$/C1$_2$ plasma, yttrium formed nonvolatile YF$_{x}$ compounds and remained on and the etched surface of YMnO$_3$. Manganese etched effectively by forming volatile MnCl$_{x}$ and MnF$_{y}$. From the X-ray diffraction (XRD) analysis, the (0004) diffraction peak intensity of the YMnO$_3$ thin film etched in Ar/Cl$_2$ plasma shows lower than that in CF$_4$/Cl$_2$ plasma. It indicates that the crystallinty of the YMnO$_3$ thin film is more easily damaged by the Af ion bombardment than the changes of stoichiometry due to nonvolatile etch by-products.cts.s.

Etching characteristics of ArF and EUV resists in dual-frequency superimposed capacitively coupled $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}$/Ar plasmas

  • Gwon, Bong-Su;Kim, Jin-Seong;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.252-253
    • /
    • 2009
  • In this study, the deformation and etch characteristics of ArF and EUV photoresists were compared in a dual frequency superimposed capacitively coupled plasma (DFS-CCP) etcher systems using $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}/Ar$ mixture gas chemistry which are typically used for BARC open and $Si_{3}N_{4}$ teching chemistry, respectively. Etch rate of the resists tend to increase with low-frequency source power ($P_{LF}$) and high-frequency source ($f_{HF}$). The etch rate of ArF resist was hgither than that of EUV resist.

  • PDF

Inductively Coupled Plasma Etching of GST Thin Films in $Cl_2$/Ar Chemistry ($Cl_2$/Ar 분위기에서 GST 박막의 ICP 에칭)

  • Yoo, Kum-Pyo;Park, Eun-Jin;Kim, Man-Su;Yi, Seung-Hwan;Kwon, Kwang-Ho;Min, Nam-Ki
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1438-1439
    • /
    • 2006
  • $Ge_{2}Sb_{2}Te_5$(GST) thin film at present is a promising candidate for a phase change random access memory (PCRAM) based on the difference in resistivity between the crystalline and amorphous phase. PCRAM is an easy to manufacture, low cost storage technology with a high storage density. Therefore today several major chip in manufacturers are investigating this data storage technique. Recently, A. Pirovano et al. showed that PCRAM can be safely scaled down to the 65 nm technology node. G. T Jeonget al. suggested that physical limit of PRAM scaling will be around 10 nm node. Etching process of GST thin ra films below 100 nm range becomes more challenging. However, not much information is available in this area. In this work, we report on a parametric study of ICP etching of GST thin films in $Cl_2$/Ar chemistry. The etching characteristics of $Ge_{2}Sb_{2}Te_5$ thin films were investigated using an inductively coupled plasma (ICP) of $Cl_2$/Ar gas mixture. The etch rate of the GST films increased with increasing $Cl_2$ flow rate, source and bias powers, and pressure. The selectivity of GST over the $SiO_2$ films was higher than 10:1. X-ray photoelectron spectroscopy(XPS) was performed to examine the chemical species present in the etched surface of GST thin films. XPS results showed that the etch rate-determining element among the Ge, Sb, and Te was Te in the $Cl_2$/Ar plasma.

  • PDF

Etching of the PDP barrier rib material using laser beam (레이저빔에 의한 PDP 격벽 재료의 식각)

  • Ahn, Min-Young;Lee, Kyoung-Cheol;Lee, Hong-Kyu;Lee, Sang-Don;Lee, Cheon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.6
    • /
    • pp.526-532
    • /
    • 2000
  • The paste on the glass or fabrication of the PDP(Plasma Display Panel) barrier rib was selectively etched using focused A $r_{+}$ laser(λ=514 nm) and Nd:YAG(λ=532, 266 nm) laser irradiation. The depth of the etched grooves increase with increasing a laser fluence and decreasing a laser beam scan speed. Using second harmonic of Nd:YAG laser(532 nm) the etching threshold laser fluence was 6.5 mJ/c $m^2$ for the sample of PDP barrier rib. The thickness of 180 ${\mu}{\textrm}{m}$ of the sample on the glass was clearly removed without any damage on the glass substrate by fluence of 19.5J/c $m^2$beam scan speed of 20${\mu}{\textrm}{m}$ /s. In order to increase the etch rate of the barrier rib material barrier rib samples heated by a resistive heater during laser irradiation. The heated sample has many defects and becomes to be fragile. This imperfection of the structure compared to the sample without heat treatment allows the effective etching by the focused laser beam. The etch rates were 65${\mu}{\textrm}{m}$/s and 270 ${\mu}{\textrm}{m}$/s at room temperature and 20$0^{\circ}C$, respectively.y.

  • PDF

A Plasma-Etching Process Modeling Via a Polynomial Neural Network

  • Kim, Dong-Won;Kim, Byung-Whan;Park, Gwi-Tae
    • ETRI Journal
    • /
    • v.26 no.4
    • /
    • pp.297-306
    • /
    • 2004
  • A plasma is a collection of charged particles and on average is electrically neutral. In fabricating integrated circuits, plasma etching is a key means to transfer a photoresist pattern into an underlayer material. To construct a predictive model of plasma-etching processes, a polynomial neural network (PNN) is applied. This process was characterized by a full factorial experiment, and two attributes modeled are its etch rate and DC bias. According to the number of input variables and type of polynomials to each node, the prediction performance of the PNN was optimized. The various performances of the PNN in diverse environments were compared to three types of statistical regression models and the adaptive network fuzzy inference system (ANFIS). As the demonstrated high-prediction ability in the simulation results shows, the PNN is efficient and much more accurate from the point of view of approximation and prediction abilities.

  • PDF

Analysis of Chemical and Morphological Changes of Phenol Formaldehyde-based Photoresist Surface caused by O2 Plasma

  • Shutov, D.A.;Kang, Seung-Youl;Baek, Kyu-Ha;Suh, Kyung-Soo;Min, Nam-Ki;Kwon, Kwang-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.5
    • /
    • pp.211-214
    • /
    • 2007
  • Chemical and morphological changes of phenol formaldehyde-based photoresist after $O_2$ radiofrequency(RF) plasma treatment depending on exposure time and source power were investigated. It was found that etch rate of photoresist sharply increased after discharge turn on and reached a limit with increase in plasma exposure time. Contact angle measurements and X-ray photoelectron spectroscopy(XPS) analysis showed that the surface chemical structure become nearly constant after 15 sec of the treatment. Atomic force microprobe(AFM) measurements were shown that surface roughness was increased with plasma exposure time.

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Etch Characteristics of CO/NH3 Plasma Gas for Magnetic Random Access Memory in Pulsed-biased Inductively Coupled Plasmas

  • Yang, Gyeong-Chae;Jeon, Min-Hwan;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.200-200
    • /
    • 2013
  • 기존 메모리 반도체에 비교해 빠른 재생속도와 높은 집적도, 비휘발성 등의 특성을 가지는 MRAM (Magnetic Random Access Memory)은 DRAM, flash memory 등을 대체할 수 있는 차세대 기억 소자로서 CoFeB/MgO/CoFeB로 구성된 한 개의 MTJ (Magnetic Tunnel Junction)를 단위 메모리로 사용한다. 이 MTJ 물질들은 고밀도 플라즈마를 이용한 건식 식각공정시 Cl2, BCl3 등과 같은 chlorine 을 포함한 가스를 이용하여 왔으나 식각 후 sidewall에서 발생하는 부식과 식각 선택비 확보의 어려움 등으로 마스크 물질에 제약을 받고 소자 특성이 감소하게 되는 등의 문제가 있다. 따라서 이러한 식각 문제점을 해결하기 위한 대안으로 noncorrosive 가스인 CO/NH3, CH3OH, CH4 등을 이용한 MTJ 식각 연구가 진행되어 오고 있으며 이중 CO/NH3 혼합가스는 부식성이 없고 hard mask와의 높은 선택비를 가지는 기체로 CO gas에 NH3 gas를 첨가하게 되면 etch rate이 증가하는 특성을 보인다. 또한 rf pulse-biased power를 이용하여 이온의 입사를 시간에 따라 제어함으로써 pulse off time 때 etch gas와 MTJ 물질간의 chemical reaction을 향상시킬 수 있다. 따라서 본 연구에서는 CO/NH3 혼합가스를 이용하여 다양한 rf pulse-biased power 조건에서 MTJ 물질인 CoFeB, MgO와 hard mask 물질인 W을 식각 한 뒤 식각특성을 분석하였으며 MTJ surface의 chemical binding state, surface roughness 측정을 진행하였다. 식각 샘플의 측정은 Alpha step profiler, XPS (X-ray Photoelectron Spectroscopy), AFM (Atomic Force Microscopy)를 통해 진행되었다. Time-averaged pulse bias에서는 duty ratio가 감소할수록 etch rate의 큰 감소 없이 CoFeB/W, MgO/W 물질의 etch selectivity가 향상됨을 확인할 수 있었으며 pulse off time 구간에서의 chemical reaction 향상으로 인해 식각부산물의 재증착이 감소하고 CoFeB의 surface roughness가 감소하는 것을 확인하였다.

  • PDF