• Title/Summary/Keyword: Plasma Etch

Search Result 570, Processing Time 0.032 seconds

Study on the Etching Profile and Etch Rate of $SiO_2/Si_3N_4$ by Ar Gas Addition to $CF_4/O_2$ Plasma ($CF_4/O_2$ Plasma에 Ar첨가에 따른 $SiO_2/Si_3N_4$ 에칭 특성 변화)

  • Kim, Boom-Soo;Kang, Tae-Yoon;Hong, Sang-Jeen
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.127-128
    • /
    • 2009
  • CCP방식의 식각에 있어서 CF4/O2 Plasma Etch에 Ar을 첨가함으로써 Etch특성이 어떻게 변화하는지를 조사하였다. FE-SEM를 이용하여 Etch Profile를 측정하였다. 또한 Elipsometer와 Nanospec을 이용하여 Etch rate를 측정하였다. Ar의 비율이 전체의 47%정도를 차지하였을 때까지 Etch Profile이 향상되었다가 그이후로는 다시 감소하는 것을 볼 수 있었다. Ar을 첨가할수록 etch rate은 계속 향상되었다. Ar을 첨가하는 것은 물리적인 식각으로 반응하여 Etch rate의 향상과 적정량의 Ar을 첨가했을 때 Etch profile이 향상되는 결과를 얻었다.

  • PDF

Analysis of First Wafer Effect for Si Etch Rate with Plasma Information Based Virtual Metrology (플라즈마 정보인자 기반 가상계측을 통한 Si 식각률의 첫 장 효과 분석)

  • Ryu, Sangwon;Kwon, Ji-Won
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.4
    • /
    • pp.146-150
    • /
    • 2021
  • Plasma information based virtual metrology (PI-VM) that predicts wafer-to-wafer etch rate variation after wet cleaning of plasma facing parts was developed. As input parameters, plasma information (PI) variables such as electron temperature, fluorine density and hydrogen density were extracted from optical emission spectroscopy (OES) data for etch plasma. The PI-VM model was trained by stepwise variable selection method and multi-linear regression method. The expected etch rate by PI-VM showed high correlation coefficient with measured etch rate from SEM image analysis. The PI-VM model revealed that the root cause of etch rate variation after the wet cleaning was desorption of hydrogen from the cleaned parts as hydrogen combined with fluorine and decreased etchant density and etch rate.

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Optimizing Spacer Dry Etch Process using New Plasma Etchant (New Plasma Etchant를 사용하여 Spacer dry etch 공정의 최적화)

  • Lee, Doo-Sung;Kim, Sang-Yeon;Nam, Chang-Woo;Ko, Dae-Hong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.83-83
    • /
    • 2009
  • We studied about the effect of newly developed etchant for spacer etch process in gate patterning. With the 110nm CMOS technology, first, we changed the gate pattern size and investigated the variation of spacer etch profile according to the difference in gate length. Second, thickness of spacer nitride was changed and effect of etch ant on difference in nitride thickness was observed. In addition to these, spacer etch power was added as test item for variation of etch profile. We investigated the etch profiles with SEM and TEM analysis was used for plasma damage check. With these results we could check the process margins for gate patterning which could hold best performance and choose the condition for best spacer etch profile.

  • PDF

Genetic Control of Learning and Prediction: Application to Modeling of Plasma Etch Process Data (학습과 예측의 유전 제어: 플라즈마 식각공정 데이터 모델링에의 응용)

  • Uh, Hyung-Soo;Gwak, Kwan-Woong;Kim, Byung-Whan
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.13 no.4
    • /
    • pp.315-319
    • /
    • 2007
  • A technique to model plasma processes was presented. This was accomplished by combining the backpropagation neural network (BPNN) and genetic algorithm (GA). Particularly, the GA was used to optimize five training factor effects by balancing the training and test errors. The technique was evaluated with the plasma etch data, characterized by a face-centered Box Wilson experiment. The etch outputs modeled include Al etch rate, AI selectivity, DC bias, and silica profile angle. Scanning electron microscope was used to quantify the etch outputs. For comparison, the etch outputs were modeled in a conventional fashion. GABPNN models demonstrated a considerable improvement of more than 25% for all etch outputs only but he DC bias. About 40% improvements were even achieved for the profile angle and AI etch rate. The improvements demonstrate that the presented technique is effective to improving BPNN prediction performance.

Selective etching of SiO2 using embedded RF pulsing in a dual-frequency capacitively coupled plasma system

  • Yeom, Won-Gyun;Jeon, Min-Hwan;Kim, Gyeong-Nam;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.136.2-136.2
    • /
    • 2015
  • 반도체 제조는 chip의 성능 향상 및 단가 하락을 위해 지속적으로 pattern size가 nano size로 감소해 왔고, capacitor 용량은 증가해 왔다. 이러한 현상은 contact hole의 aspect ratio를 지속적으로 증가시킨바, 그에 따라 최적의 HARC (high aspect ratio contact)을 확보하는 적합한 dry etch process가 필수적이다. 그러나 HARC dry etch process는 많은 critical plasma properties 에 의존하는 매우 복잡한 공정이다. 따라서, critical plasma properties를 적절히 조절하여 higher aspect ratio, higher etch selectivity, tighter critical dimension control, lower P2ID과 같은 plasma characteristics을 확보하는 것이 요구된다. 현재 critical plasma properties를 제어하기 위해 다양한 plasma etching 방법이 연구 되어왔다. 이 중 plasma를 낮은 kHz의 frequency에서 on/off 하는 pulsed plasma etching technique은 nanoscale semiconductor material의 etch 특성을 효과적으로 향상 시킬 수 있다. 따라서 본 실험에서는 dual-frequency capacitive coupled plasma (DF-CCP)을 사용하여 plasma operation 동안 duty ratio와 pulse frequency와 같은 pulse parameters를 적용하여 plasma의 특성을 각각 제어함으로써 etch selectivity와 uniformity를 향상 시키고자 하였다. Selective SiO2 contact etching을 위해 top electrode에는 60 MHz pulsed RF source power를, bottom electrode에는 2MHz pulse plasma를 인가하여 synchronously pulsed dual-frequency capacitive coupled plasma (DF-CCP)에서의 plasma 특성과 dual pulsed plasma의 sync. pulsing duty ratio의 영향에 따른 etching 특성 등을 연구 진행하였다. 또한 emissive probe를 통해 전자온도, OES를 통한 radical 분석으로 critical Plasma properties를 분석하였고 SEM을 통한 etch 특성분석과 XPS를 통한 표면분석도 함께 진행하였다. 그 결과 60%의 source duty percentage와 50%의 bias duty percentage에서 가장 향상된 etch 특성을 얻을 수 있었다.

  • PDF

The Dry Etching Properties of ZnO Thin Film in Cl2/BCl3/Ar Plasma

  • Woo, Jong-Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.3
    • /
    • pp.116-119
    • /
    • 2010
  • The etching characteristics of zinc oxide (ZnO) were investigated, including the etch rate and the selectivity of ZnO in a $Cl_2/BCl_3$/Ar plasma. It was found that the ZnO etch rate, the RF power, and the gas pressure showed non-monotonic behaviors with an increasing Cl2 fraction in the $Cl_2/BCl_3$/Ar plasma, a gas mixture of $Cl_2$(3 sccm)/$BCl_3$(16 sccm)/Ar (4 sccm) resulted in a maximum ZnO etch rate of 53 nm/min and a maximum etch selectivity of 0.89 for ZnO/$SiO_2$. We used atomic force microscopy to determine the roughness of the surface. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas. Due to the relatively low volatility of the by-products formed during etching with $Cl_2/BCl_3$/Ar plasma, ion bombardment and physical sputtering were required to obtain the high ZnO etch rate. The chemical states of the etched surfaces were investigated using X-ray photoelectron spectroscopy (XPS). This data suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.

Oxygen Plasma Characterization Analysis for Plasma Etch Process

  • Park, Jin-Su;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.78
    • /
    • pp.29-31
    • /
    • 2007
  • This paper is devoted to a study of the characterization of the plasma state. For the purpose of monitoring plasma condition, we experiment on reactive ion etching (RIE) process. Without actual etch process, generated oxygen plasma, measurement of plasma emission intensity. Changing plasma process parameters, oxygen flow, RF power and chamber pressure have controlled. Using the optical emission spectroscopy (OES), we conform to the unique oxygen wavelength (777nm), the most powerful intensity region of the designated range. Increase of RF power and chamber pressure, emission intensity is increased. oxygen flow is not affect to emission intensity.

High density plasma etching of novel dielectric thin films: $Ta_{2}O_{5}$ and $(Ba,Sr)TiO_{3}$

  • Cho, Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.11 no.5
    • /
    • pp.231-237
    • /
    • 2001
  • Etch rates up to 120 nm/min for $Ta_{2}O_{5}$ were achieved in both $SF_{6}/Ar$ and $Cl_{2}/Ar$ discharges. The effect of ultraviolet (UV) light illumination during ICP etching on $Ta_{2}O_{5}$ etch rate in those plasma chemistries was examined and UV illumination was found to produce significant enhancements in $Ta_{2}O_{5}$ etch rates most likely due to photoassisted desorption of the etch products. The effects of ion flux, ion energy, and plasma composition on (Ba, Sr)$TiO_3$ etch rate were examined and maximum etch rate ~90 nm/min was achieved in $Cl_{2}/Ar$ ICP discharges while $CH_{4}/H_{2}/Ar$ chemistry produced extremely low etch rates (${\leq}10\;nm/min$) under all conditions.

  • PDF

Improvement of Repeatability during Dielectric Etching by Controlling Upper Electrode Temperature (Capacitively Coupled Plasma Source를 이용한 Etcher의 상부 전극 온도 변화에 따른 Etch 특성 변화 개선)

  • Shin, Han-Soo;Roh, Yong-Han;Lee, Nae-Eung
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.5
    • /
    • pp.322-326
    • /
    • 2011
  • Etch process of silicon dioxide layer by using capacitively coupled plasma (CCP) is currently being used to manufacture semiconductor devices with nano-scale feature size below 50 nm. In typical CCP plasma etcher system, plasmas are generated by applying the RF power on upper electrode and ion bombardment energy is controlled by applying RF power to the bottom electrode with the Si wafer. In this case, however, etch results often drift due to heating of the electrode during etching process. Therefore, controlling the temperature of the upper electrode is required to obtain improvement of etch repeatability. In this work, we report repeatability improvement during the silicon dioxide etching under extreme process conditions with very high RF power and close gap between upper and bottom electrodes. Under this severe etch condition, it is difficult to obtain reproducible oxide etch results due to drifts in etch rate, critical dimension, profile, and selectivity caused by unexpected problems in the upper electrode. It was found that reproducible etch results of silicon dioxide layer could be obtained by controlling temperature of the upper electrode. Methods of controlling the upper electrode and the correlation with etch repeatability will be discussed in detail.