• 제목/요약/키워드: OES(Optical Emission Spectroscopy)

검색결과 209건 처리시간 0.024초

$CF_4/O_2$ gas chemistry에 의한 Ru 박막의 식각 특성 (Etching characteristics of Ru thin films with $CF_4/O_2$ gas chemistry)

  • 임규태;김동표;김창일;최장현;송준태
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 춘계학술대회 논문집 센서 박막재료 반도체재료 기술교육
    • /
    • pp.74-77
    • /
    • 2002
  • Ferroelectric Random Access Memory(FRAM) and MEMS applications require noble metal or refractory metal oxide electrodes. In this study, Ru thin films were etched using $O_2$+10% $CF_4$ plasma in an inductively coupled plasma(ICP) etching system. The etch rate of Ru thin films was examined as function of rf power, DC bias applied to the substrate. The enhanced etch rate can be obtained not only with increasing rf power and DC bias voltage, but also with small addition $CF_4$ gas. The selectivity of $SiO_2$ over Ru are 1.3. Radical densities of oxygen and fluorine in $CF_4/O_2$ plasma have been investigated by optical emission spectroscopy(OES). The etching profiles of Ru films with an photoresist pattern were measured by a field emission scanning electron microscope (FE-SEM). The additive gas increases the concentration of oxygen radicals, therefore increases the etch rate of the Ru thin films and enhances the etch slope. In $O_2$+10% $CF_4$ plasma, the etch rate of Ru thin films increases up to 10% $CF_4$ but decreases with increasing $CF_4$ mixing ratio.

  • PDF

Dry Etching of BST using Inductively Coupled Plasma

  • Kim, Gwan-Ha;Kim, Kyoung-Tae;Kim, Dong-Pyo;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제6권2호
    • /
    • pp.46-50
    • /
    • 2005
  • BST thin films were etched with inductively coupled CF$_{4}$/(Cl$_{2}$+Ar) plasmas. The etch characteristics of BST thin films as a function of CF$_{4}$/(Cl$_{2}$+Ar) gas mixtures were analyzed using optical emission spectroscopy (OES) and Langmuir probe. The BST films in CF$_{4}$/Cl$_{2}$/Ar plasma is mainly etched by the formation of metal chlorides which depends on the emission intensity of the atomic Cl and the bombarding ion energy. The maximum etch rate of the BST thin films was 53.6 nm/min because small addition of CF$_{4}$ to the Cl$_{2}$/Ar mixture increased chemical and physical effect. A more fast etch rate of BST films can be obtained by increasing the DC bias and the RF power, and lowering the working pressure.

OES를 이용한 SBT 박막의 식각 메카니즘 연구 (The Study Of Etching Mechanism in $SrBi_{2}Ta_{2}O_{9}$ thin film by Optical Emission Spectroscopy)

  • 신성욱;김창일;장의구;이원재;유병곤;김태형
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 추계학술대회 논문집
    • /
    • pp.40-44
    • /
    • 2000
  • In this paper, since the research on the etching of SrBi$_2$Ta$_2$O$_{9}$ (SBT) thin film was few (specially Cl$_2$-base ), we had studied the surface reaction of SBT thin films using the OES in high density plasma etching as a function of rf power, dc bias voltage, and Cl$_2$(Cl$_2$+Ar) gas mixing ratio. It had been found that the etch rate of SBT thin films appeared to be more affected by the physical sputtering between Ar ions and surface of the SBT compared to the chemical reaction in our previous papers$^{1.2}$ . The change of Cl radical density that is measured by the OES as a function of gas combination showed the change of the etch rate of SBT thin films. Therefore, the chemical reactions between Cl radical in plasma and components of the SBT enhance to increase the etch rates of SBT thin films and these results were confirmed by XPS analysis.

  • PDF

Analysis of Zirconium and Nickel Based Alloys and Zirconium Oxides by Relative and Internal Monostandard Neutron Activation Analysis Methods

  • Shinde, Amol D.;Acharya, Raghunath;Reddy, Annareddy V.R.
    • Nuclear Engineering and Technology
    • /
    • 제49권3호
    • /
    • pp.562-568
    • /
    • 2017
  • Background: The chemical characterization of metallic alloys and oxides is conventionally carried out by wet chemical analytical methods and/or instrumental methods. Instrumental neutron activation analysis (INAA) is capable of analyzing samples nondestructively. As a part of a chemical quality control exercise, Zircaloys 2 and 4, nimonic alloy, and zirconium oxide samples were analyzed by two INAA methods. The samples of alloys and oxides were also analyzed by inductively coupled plasma optical emission spectroscopy (ICP-OES) and direct current Arc OES methods, respectively, for quality assurance purposes. The samples are important in various fields including nuclear technology. Methods: Samples were neutron irradiated using nuclear reactors, and the radioactive assay was carried out using high-resolution gamma-ray spectrometry. Major to trace mass fractions were determined using both relative and internal monostandard (IM) NAA methods as well as OES methods. Results: In the case of alloys, compositional analyses as well as concentrations of some trace elements were determined, whereas in the case of zirconium oxides, six trace elements were determined. For method validation, British Chemical Standard (BCS)-certified reference material 310/1 (a nimonic alloy) was analyzed using both relative INAA and IM-NAA methods. Conclusion: The results showed that IM-NAA and relative INAA methods can be used for nondestructive chemical quality control of alloys and oxide samples.

운전압력 변화에 따른 마이크로파 공기 플라즈마의 특성연구 (Characteristics of Microwave Air Plasma With a Wide Range of Operating Pressures)

  • 조정현;장봉철;박봉경;김윤환;정용호;김곤호
    • 한국진공학회지
    • /
    • 제11권1호
    • /
    • pp.68-75
    • /
    • 2002
  • 본 연구에서는 운전압력을 1 mTorr~760 Torr까지 변화시키며 발생되는 마이크로파 공기 플라즈마의 특성을 관찰하였다. 마이크로파 공기 플라즈마 발생을 위하여 마이크로파의 전송선로인 도파관은 $TE_{01}$ mode로 설계 및 제작하였으며, 가정용 전자렌지에 사용되는 마그네트론을 이용하여 AC-type microwave source를 제작하였다. 입력 전력은 370 W로 일정하게 유지하였으며, 이때 발생하는 플라즈마의 특성 관찰은 고속주사 정전탐침과 OES (Optical Emission Spectroscopy)를 이용하였다. 최소 절연파괴 전기장의 세기(breakdown E-field)를 가지는 압력인 500 mTorr를 기준으로 발생 플라즈마의 특성은 많은 변화를 보였으며 이 압력은 입력주파수($\omega$)와 충돌주파수($V_c$)가 일치하는 조건이었다. 이때 공기의 유효충돌 단면적은 $9.23\times10^{-l6}\textrm{cm}^2$으로 계산되었다. 운전압력 500 mTorr 이하의 영역에서 절연파괴 전기장의 세기는 약 $5.7\times10^4$V/m-Torr의 값을 갖으며 압력에 반비례하여 감소하였고, 500 mTorr에서 전기장은 12.5 kV/m로 최저 값을 갖고, 500 mTorr 이상의 영역에서는 약 43 V/m-Torr로 압력에 비례하여 증가하였다. OES 측정결과 마이크로파 공기 플라즈마에서 발생되는 주요 이온의 성분은 산소, 아르곤, 질소였으며, 특히 500 mTorr 이하의 영역에서는 산소와 아르곤 이온의 발생이 지배적이었다. 공기내의 산소(O(II))의 이온온도는 압력이 증가함에 따라 약 1.2 eV에서 0.5 eV로 감소하는 경향을 보였다. 정전 탐침 측정 결과는 500 mTorr 이하의 영역에서 플라즈마 밀도가 증가하는 경향을 보였으며 500 mTorr 이상의 영역에서 플라즈마 밀도는 비교적 낮았다.

식각 용기 가열에 의한 라디칼 손실 제어가 고선택비 산화막 식각에 미치는 영향 (Effect of the Radical Loss Control by the Chamber Wall Heating on the Highly Selective $SiO_2$ etching)

  • 김정훈;이호준;주정훈;황기웅
    • 한국진공학회지
    • /
    • 제5권2호
    • /
    • pp.169-174
    • /
    • 1996
  • The applications of the high density plasma sources to the etching in semiconductor fabrication process are actively studied because of the more strict requirement from the dry etching process due to shrinking down of the critical dimension. But in the oxide etching with the high density plasma sources, abundant fluorine atoms released from the flurocarbon feed gas make it difficult to get the highly selective $SiO_2/Si$ etching. In this study, to improve the $SiO_2/Si$ etch selectivity through the control of the radical loss channels, we propose the wall heating , one of methods of controlling loss mechanisms. With appearance mass spectroscopy(AMS) and actinometric optical emission spectroscopy(OES), the increase of both radicals impinging on the substrate and existing in bulk plasma, and the decrease of the fluorine atom with wall temperature are observed. As a result, a 40% improvement of the selectivity was achieved for the carbon rich feed gas.

  • PDF

유도 결합형 Cl$_2$계 플라즈마를 이용한 GaN 식각 특성에 관한 연구 (A study of the GaN etch properties using inductively coupled Cl$_2$-based plasmas)

  • 김현수;이재원;김태일;염근영
    • 한국표면공학회지
    • /
    • 제32권2호
    • /
    • pp.83-92
    • /
    • 1999
  • GaN etching was performed using planar inductively coupled $Cl_2$-based plasmas and the effects of main process parameters on the characteristics of the plasmas and their relations to GaN etch rates were studied. Also, the GaN etch mechanism was investigated using a Langmuir probe and optical emission spectroscopy (OES) during the etching, and X-ray photoelectron spectroscopy (XPS) of the etched surfaces. The GaN etch rates increased with the increase of chlorine radical density and ion energy, and a vertical etch profile haying the etch rate close to 4000 $\AA$/min could be obtained. The addition of 10% Ar to $Cl_2$ gas increased the GaN etch rate and the addition of Ar (more than 20%) and HBr generally reduced the GaN etch rate. The GaN etch rate appeared to be more affected by the chemical reaction between Cl radicals and GaN compared to the physical sputtering itself under the sufficient ion bombardments to break GaN bonds.

  • PDF

Diamond Deposition by Multi-cathode DC PACVD

  • Lee, Jae-Kap;Lee, Wook-Seong;Baik, Young-Joon;Eun, Kwang-Yong
    • The Korean Journal of Ceramics
    • /
    • 제3권1호
    • /
    • pp.24-28
    • /
    • 1997
  • Diamond deposition by muti-cathode DC PACVD has been investigated. Five cathodes were independently connected to their own DC power supplies. The voltage and current of each cathods were varied up to 700 V and 3.5 A, respectively. The plasma formation and the diamond deposition behaviour on a substrate of 3 inch in diameter were investigated by optical emission spectroscopy, SEM and Raman spectroscopy. The plasma formed by five cathodes was non-uniform, which was depended on the geometry of cathods array. The growth rate and the quality of diamond film were closely related to the spatial distribution of the plasma.

  • PDF

Dry Etching Characteristics of ZnO Thin Films for the Optoelectronic Device by Using Inductively Coupled Plasma

  • Joo, Young-Hee;Woo, Jong-Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제13권1호
    • /
    • pp.6-9
    • /
    • 2012
  • In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity to $SiO_2$) of ZnO thin films in $N_2/Cl_2$/Ar inductivity coupled plasma. A maximum etch rate and selectivity of 108.8 nm/min and, 3.21, respectively, was obtained for ZnO thin film at a $N_2/Cl_2$/Ar gas mixing ratio of 15:16:4 sccm. The plasmas were characterized by optical emission spectroscopy. The x-ray photoelectron spectroscopy analysis showed the efficient destruction of oxide bonds by ion bombardment. An accumulation of low volatile reaction products on the etched surface was also shown. Based on this data, an ion-assisted chemical reaction is proposed as the main etch mechanism for plasmas containing $Cl_2$.

Electron Emission Property of Carbon Nanotubes Grown Using Different Source Gases

  • Han, Jae-Hee;Lee, Tae-Young;Yoo, Ji-Beom;Park, Chong-Yun;Jung, Tae-Won;Yu, Se-Gi;Yi, Whi-Kun;Kim, Jong-Min
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2002년도 International Meeting on Information Display
    • /
    • pp.658-661
    • /
    • 2002
  • Chemical species during growth of carbon nanotubes (CNTs) in direct current-plasma enhanced chemical vapor deposition were studied in details using $C_3H_4-NH_3$ and $CO-NH_3$ mixtures through optical emission spectroscopy (OES). In the $C_3H_4-NH_3$ system, the relative intensities of CN (388.3 nm) and CH (431.4 nm) decreased and that of $C_2$ (436 nm) increased, leading to $sp^2$-graphization into the CNT structure, leading to improvement of field emission property of CNTs. In the $CO-NH_3$ system, the trend is completely reversed. Attributing to the atomic oxygen for helping the graphitization of carbon, CNTs could be grown under the flow rate of CO (180 sccm)-$NH_3$ (10 sccm). Through these results, we suggest the growth mechanism in our system.

  • PDF