• Title/Summary/Keyword: Nanomanufacturing

Search Result 19, Processing Time 0.021 seconds

Large Scale Directed Assembly of SWNTs and Nanoparticles for Electronics and Biotechnology

  • Busnaina, Ahmed;Smith, W.L.
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.10a
    • /
    • pp.9-9
    • /
    • 2011
  • The transfer of nano-science accomplishments into technology is severely hindered by a lack of understanding of barriers to nanoscale manufacturing. The NSF Center for High-rate Nanomanufacturing (CHN) is developing tools and processes to conduct fast massive directed assembly of nanoscale elements by controlling the forces required to assemble, detach, and transfer nanoelements at high rates and over large areas. The center has developed templates with nanofeatures to direct the assembly of carbon nanotubes and nanoparticles (down to 10 nm) into nanoscale trenches in a short time (in seconds) and over a large area (measured in inches). The center has demonstrated that nanotemplates can be used to pattern conducting polymers and that the patterned polymer can be transferred onto a second polymer substrate. Recently, a fast and highly scalable process for fabricating interconnects from CMOS and other types of interconnects has been developed using metallic nanoparticles. The particles are precisely assembled into the vias from the suspension and then fused in a room temperature process creating nanoscale interconnect. The center has many applications where the technology has been demonstrated. For example, the nonvolatile memory switches using (SWNTs) or molecules assembled on a wafer level. A new biosensor chip (0.02 $mm^2$) capable of detecting multiple biomarkers simultaneously and can be in vitro and in vivo with a detection limit that's 200 times lower than current technology. The center has developed the fundamental science and engineering platform necessary to manufacture a wide array of applications ranging from electronics, energy, and materials to biotechnology.

  • PDF

Characterization of Glass Melts Containing Simulated Low and Intermediate Level Radioactive Waste

  • Jung, Hyun-Su;Kim, Ki-Dong;Lee, Seung-Heon;Kwon, Sung-Ku;Kim, Cheon-Woo;Park, Jong-Kil;Hwang, Tae-Won;Ahn, Zou-Sam
    • Journal of the Korean Ceramic Society
    • /
    • v.43 no.3 s.286
    • /
    • pp.148-151
    • /
    • 2006
  • In order to examine the process parameters for the vitrification of Low and Intermediate Level radioactive Waste (LILW) generated from nuclear power plants, measurements of several melt properties was performed for four selected glasses containing simulated waste. Electrical conductivity and viscosity were determined at temperatures ranging from 1123 to $1673^{\circ}C$. The temperature dependences of both properties in the molten state showed a similar behavior in which their values decrease as the temperature increases. The values of the electrical conductivity and viscosity at a temperature of 1423K adopted in an induction cold crucible melter process were $0.27{\sim}0.42$ S/cm and $9.8{\sim}42$ dPas, respectively.

Technology for the Multi-layer Nanoimprint Lithography Equipments and Nanoscale Measurement (다층 나노임프린트 리소그래피 시스템 및 나노측정기술)

  • Lee, JaeJong;Choi, KeeBong;Kim, GeeHong;Lim, HyungJun
    • Vacuum Magazine
    • /
    • v.2 no.1
    • /
    • pp.10-16
    • /
    • 2015
  • With the recognition of nanotechnology as one of the future strategic technologies, the R&D efforts have been performed under exclusive supports of governments and private sectors. At present, nanotechnology is at the focus of research and public attention in almost every advanced country including USA, Japan, and many others in EU. Keeping tracks of such technical trends, center for nanoscale mechatronics and manufacturing (CNMM) was established in 2002 as a part of national nanotechnology promotion policy led by ministry of science and technology (MOST) in Korea. It will hold widespread potential applications in electronics, optical electronics, biotechnology, micro systems, etc, with the promises of commercial visibility and competitiveness. In this paper, wafer scale multilayer nanoimprint lithography technology which is well-known the next generation lithography, roll-typed nanoimprint lithography (R-NIL), roll-typed liquid transfer imprint lithography (R-LTIL), the key technology for nanomanufacturing and nanoscale measurement technology will be introduced. Additionally, its applications and some achievements such as solar cell, biosensor, hard disk drive, and MOSFET, etc by means of the developed multilayer nanoimprint lithography system are introduced.

Establishment and Application of a Femtosecond-laser Two-photon-polymerization Additive-manufacturing System

  • Li, Shanggeng;Zhang, Shuai;Xie, Mengmeng;Li, Jing;Li, Ning;Yin, Qiang;He, Zhibing;Zhang, Lin
    • Current Optics and Photonics
    • /
    • v.6 no.4
    • /
    • pp.381-391
    • /
    • 2022
  • Two-photon-polymerization additive-manufacturing systems feature high resolution and precision. However, there are few reports on specific methods and possible problems concerning the use of small lasers to independently build such platforms. In this paper, a femtosecond-laser two-photon-polymerization additive-manufacturing system containing an optical unit, control unit, monitoring unit, and testing unit is built using a miniature femtosecond laser, with a detailed building process and corresponding control software that is developed independently. This system has integrated functions of light-spot detection, interface searching, micro-/nanomanufacturing, and performance testing. In addition, possible problems in the processes of platform establishment, resin preparation, and actual polymerization for two-photon-polymerization additive manufacturing are explained specifically, and the causes of these problems analyzed. Moreover, the impacts of different power levels and scanning speeds on the degree of polymerization are compared, and the influence of the magnification of the object lens on the linewidth is analyzed in detail. A qualitative analysis model is established, and the concepts of the threshold broadening and focus narrowing effects are proposed, with their influences and cooperative relation discussed. Besides, a linear structure with micrometer accuracy is manufactured at the millimeter scale.

Effect of Crystal Orientation on Material Removal Characteristics in Sapphire Chemical Mechanical Polishing (사파이어 화학기계적 연마에서 결정 방향이 재료제거 특성에 미치는 영향)

  • Lee, Sangjin;Lee, Sangjik;Kim, Hyoungjae;Park, Chuljin;Sohn, Keunyong
    • Tribology and Lubricants
    • /
    • v.33 no.3
    • /
    • pp.106-111
    • /
    • 2017
  • Sapphire is an anisotropic material with excellent physical and chemical properties and is used as a substrate material in various fields such as LED (light emitting diode), power semiconductor, superconductor, sensor, and optical devices. Sapphire is processed into the final substrate through multi-wire saw, double-side lapping, heat treatment, diamond mechanical polishing, and chemical mechanical polishing. Among these, chemical mechanical polishing is the key process that determines the final surface quality of the substrate. Recent studies have reported that the material removal characteristics during chemical mechanical polishing changes according to the crystal orientations, however, detailed analysis of this phenomenon has not reported. In this work, we carried out chemical mechanical polishing of C(0001), R($1{\bar{1}}02$), and A($11{\bar{2}}0$) substrates with different sapphire crystal planes, and analyzed the effect of crystal orientation on the material removal characteristics and their correlations. We measured the material removal rate and frictional force to determine the material removal phenomenon, and performed nano-indentation to evaluate the material characteristics before and after the reaction. Our findings show that the material removal rate and frictional force depend on the crystal orientation, and the chemical reaction between the sapphire substrate and the slurry accelerates the material removal rate during chemical mechanical polishing.

Development of the Large-area Au/Pd Transfer-printing Process Applying Both the Anti-Adhesion and Adhesion Layers (접착방지막과 접착막을 동시에 적용한 대면적 Au/Pd 트랜스퍼 프린팅 공정 개발)

  • Cha, Nam-Goo
    • Korean Journal of Materials Research
    • /
    • v.19 no.8
    • /
    • pp.437-442
    • /
    • 2009
  • This paper describes an improved strategy for controlling the adhesion force using both the antiadhesion and adhesion layers for a successful large-area transfer process. An MPTMS (3-mercaptopropyltrimethoxysilane) monolayer as an adhesion layer for Au/Pd thin films was deposited on Si substrates by vapor self assembly monolayer (VSAM) method. Contact angle, surface energy, film thickness, friction force, and roughness were considered for finding the optimized conditions. The sputtered Au/Pd ($\sim$17 nm) layer on the PDMS stamp without the anti-adhesion layer showed poor transfer results due to the high adhesion between sputtered Au/Pd and PDMS. In order to reduce the adhesion between Au/Pd and PDMS, an anti-adhesion monolayer was coated on the PDMS stamp using FOTS (perfluorooctyltrichlorosilane) after $O_2$ plasma treatment. The transfer process with the anti-adhesion layer gave good transfer results over a large area (20 mm $\times$ 20 mm) without pattern loss or distortion. To investigate the applied pressure effect, the PDMS stamp was sandwiched after 90$^{\circ}$ rotation on the MPTMS-coated patterned Si substrate with 1-${\mu}m$ depth. The sputtered Au/Pd was transferred onto the contact area, making square metal patterns on the top of the patterned Si structures. Applying low pressure helped to remove voids and to make conformal contact; however, high pressure yielded irregular transfer results due to PDMS stamp deformation. One of key parameters to success of this transfer process is the controllability of the adhesion force between the stamp and the target substrate. This technique offers high reliability during the transfer process, which suggests a potential building method for future functional structures.

Current Status of Nanotechnology Development for Space Exploration (우주탐사용 나노기술 개발 동향)

  • Lee, Ho-Sung;Chae, Yeon-Seok
    • Current Industrial and Technological Trends in Aerospace
    • /
    • v.6 no.1
    • /
    • pp.90-98
    • /
    • 2008
  • Nanotechnology(NT) refers to a field of advanced micro-technology covering the creation and manufacturing of materials on the atomic and molecular scale and requires interdisciplinary study with various fields including materials science, physics, chemistry, electronics and others. Whileas nanotechnology is a kind of micro and small scaled science, space technology(ST) is one of the larger and system technologies utilizing broad fields of mechanical, materials, electronics and communication technologies. It is necessary to select and concentrate the functional items of nanotechnology for efficient application to be utilized in space technology, due to the cross-sectional characteristics of nanotechnology within nanomaterials, nanoelectronics, and nanomanufacturing. This paper provides the current state of art of nanotechnology in space technology by evaluating NASA's activities and the 9th frame of the project ANTARES(Analysis of Nanotechnology Applications in Space Developments and Systems) with the support of the German Aerospace Center (DLR), Space Flight Management, Division Technology for Space Systems and Robotics. It has shown that it is necessary to apply nanotechnology to space technology in order to achieve international competitiveness, for the nanotechnology can bring the previously impossible things to reality. Since KARI plans to send an unmanned probe to the moon's orbit and land a probe on the moon's surface in 2025, it is urgently needed to incorporate nanotechnology to national space development plan.

  • PDF

Development of a Compact Desktop-sized Roll-to-roll Nanoimprinting System for Continuous Nanopatterning (데스크탑 규모의 간결한 롤투롤 나노임프린팅 기반 나노패턴 연속가공 시스템 개발)

  • Lee, Jeongsoo;Lee, Jihun;Nam, Seungbum;Cho, Sungil;Jo, Yongsu;Go, Minseok;Lee, Seungjo;Oh, Dong Kyo;Kim, Jeong Dae;Lee, Jae Hyuk;Ok, Jong G.
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.16 no.1
    • /
    • pp.96-101
    • /
    • 2017
  • We have developed a compact desktop-sized nanopatterning system driven by the Roll-to-Roll (R2R) nanoimprinting (NIL) principle. The system realizes the continuous and high-speed stamping of various nanoscale patterns on a large-area flexible substrate without resorting to ponderous and complicated instruments. We first lay out the process principle based on continuous NIL on a UV-curable resin layer using a flexible nanopatterned mold. We then create conceptual and specific designs for the system by focusing on two key processes, imprinting and UV curing, which are performed in a continuous R2R fashion. We build a system with essential components and optimized modules for imprinting, UV curing, and R2R conveying to enable simple but effective nanopatterning within the desktop volume. Finally, we demonstrate several nanopatterning results such as nanolines and nanodots, which are obtained by operating the built desktop R2R NIL system on transparent and flexible substrates. Our system may be further utilized in the scalable fabrication of diverse flexible nanopatterns for many functional applications in optics, photonics, sensors, and energy harvesters.

자기조립 특성을 이용한 공정 및 응용소자 개발

  • Lee, Jae-Gap
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2012.05a
    • /
    • pp.52-52
    • /
    • 2012
  • 최근 선진국을 중심으로 제조기술의 산업혁명이라고 불릴 정도로 큰 파급효과가 기대되는 자기조립기반의 산업공정기술을 확보하기 위한 많은 노력과 연구들이 활발하게 진행되고 있다. 자기조립(Self-Assembly) 현상은 자연에서 일어나는 자발적인 힘으로 원자 또는 분자 단위까지 구조물을 제어하고 bottom-up 방식(상향식: 원자/분자 스케일의 나노구조를 배열/조립하여 원하는 형태의 패턴을 만들어 내는 방식)으로 원하는 구조물을 설계/제작할 수 있는 능력을 가지고 있다. 기초적인 과학으로부터 출발한 자기조립기술은 최근 자기조립 응용개발에서 많은 성과를 이루어내면서 산업화 가능성을 크게 하고, 과학계와 산업계의 많은 관심을 불러일으키고 있다. 반도체 산업기술을 예측하는 ITRS 로드맵(2005년)에 의하면 directed self-assembly 방법이 새로운 미래 패터닝 기술로 개발되어 2016년경에 사용되고, 자기조립소재로 제작된 다양한 응용소자들은 새로운 미래소자로 개발될 것으로 예상하고 있다. 이에 맞추어 국내 기업들도 diblock copolymer를 이용한 나노패터닝 기술 확보를 위한 연구를 진행하고 있다. 또한 IBM은 자기조립기술을 반도체공정에 실험적으로 적용하여 자기조립기술이 생산 공정에 부분적으로 적용될 가능성이 크다는 것을 보여주었다. 산업계와 함께 학계의 연구센터에서는 산업화를 위한 자기조립 집적화 공정(Integrated process) 개발을 이루기 위하여 체계적으로 연구를 실시하고 있다. 미국의 Northeastern 대학의 CHN(Center for high-rate Nanomanufacturing) 연구센터는 자기조립 집적화에 용이한 새로운 개념의 소자를 제안하고 이를 집적화하기 위한 다양한 공정을 개발하고 있으며, Wisconsin 대학의 NSEC(Nanosacle Science and Engineering Center) 연구센터는 diblock copolymer를 이용한 나노패터닝 기술 개발에서 획기적인 결과를 도출하여 산업계에 적용될 가능성을 높이고 있다. 이와 같은 결과들로부터 앞으로의 자기조립기술에 대한 연구는 3차원 구조물을 제작할 수 있는 집적화 공정에 집중될 것이고, 이를 위하여 새로운 개념의 단순한 구조의 응용소자개발도 함께 추진될 것으로 판단된다. 또한 실용 가능성이 큰 집적화 공정으로 개발하기 위하여 기존의 top-down 방식을 접목한 bottom-up 방식의 자기조립 집적화 공정이 개발될 것으로 예상하고 있다. 이와 함께 자기조립공정은 반복되는 구조를 쉽게 제작할 수 있는 장점을 가지고 있어 다양한 응용소자 [태양전지(solar cell), 연료전지(fuel cell), 유연성 있는 전자기기(flexible electronics), 화면표시 장치(display device)] 제작에 쉽게 이용되어 새로운 산업을 창출할 수 있는 가능성을 보이고 있다. 본 자기조립 연구 센터에서는 이와 같은 자기조립 특성을 제조공정에 적용하여 혁신적인 제조공정기술을 확보하고자 연구를 진행하고 있다. 그러므로 본 발표에서 이와 같은 연구 흐름과 함께 본 센터에서 진행하고 있는 자기조립 제조방법을 소개하고자 한다. 이와 함께 자기조립방법을 이용하여 제작된 다양한 응용소자 개발 결과를 발표하고, 이를 top-down 방식과 접목하여 집적화공정으로 개발하는 전략을 함께 소개하고자 한다.

  • PDF