• 제목/요약/키워드: HDP (High-Density Plasma)

검색결과 24건 처리시간 0.03초

HDP PECVD로 증착된 수소화된 나노결정립과 비정질 실리콘 박막의 전기적, 광학적 특성 (Electrical and optical properties of hydrogenated nano-crystalline and amorphous silicon thin films deposited by HDP PECVD)

  • 이유진;신진국
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.41-41
    • /
    • 2003
  • 비정질 실리콘 박막은 단결정 실리콘에 비해 저가이고 저온형성이 가능하여, 대면적/고효율의 실리콘 박막 태양전지 제작에 응용되고 있다. 태양전지에 적용하기 위해서는 우수한 암전류 및 광전류 특성을 나타내야 하고, 광학적 밴드 갭 특성 또한 중요하다. 본 연구에서는 HDP(High Density Plasma) PECVD 장비를 이용하여 나노결정립 및 비정질 실리콘 박막을 형성하고, 각 박막의 전기적, 광학적 특성을 측정, 평가하였다. 나노결정립 및 비정질 실리콘 박막의 전기적 특성은 Keithley 4200을 이용하여 암전류를 특성을 측정하였고, Solar Simulator를 이용하여 AM1.5, 100mW/$\textrm{cm}^2$ 조건에서 광전류 특성을 측정하였다. 또한, Spectrometer를 이용하여 박막의 투과율을 측정하여 Tauc Plot을 통해 광학적 밴드 갭을 계산하였다. 본 연구에서 형성된 비정질 실리콘 박막은 -$10^{6}$의 우수한 Photoresponse($\sigma$$_{ph}$ $\sigma$$_{d}$) 특성을 나타내었다. 또한, 비정질 실리콘 박막 내에 나노결정립이 형성됨에 따라 암전류는 증가하고, 광학적 밴드 갭도 증가하는 것을 알 수 있었다. 이렇게 밴드 갭이 증가된 나노결정립 실리콘 박막은 태양전지의 Window 층에 적용하면 효율 증가에 크게 기여할 것으로 판단된다.

  • PDF

Multiple-inputs Dual-outputs Process Characterization and Optimization of HDP-CVD SiO2 Deposition

  • Hong, Sang-Jeen;Hwang, Jong-Ha;Chun, Sang-Hyun;Han, Seung-Soo
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제11권3호
    • /
    • pp.135-145
    • /
    • 2011
  • Accurate process characterization and optimization are the first step for a successful advanced process control (APC), and they should be followed by continuous monitoring and control in order to run manufacturing processes most efficiently. In this paper, process characterization and recipe optimization methods with multiple outputs are presented in high density plasma-chemical vapor deposition (HDP-CVD) silicon dioxide deposition process. Five controllable process variables of Top $SiH_4$, Bottom $SiH_4$, $O_2$, Top RF Power, and Bottom RF Power, and two responses of interest, such as deposition rate and uniformity, are simultaneously considered employing both statistical response surface methodology (RSM) and neural networks (NNs) based genetic algorithm (GA). Statistically, two phases of experimental design was performed, and the established statistical models were optimized using performance index (PI). Artificial intelligently, NN process model with two outputs were established, and recipe synthesis was performed employing GA. Statistical RSM offers minimum numbers of experiment to build regression models and response surface models, but the analysis of the data need to satisfy underlying assumption and statistical data analysis capability. NN based-GA does not require any underlying assumption for data modeling; however, the selection of the input data for the model establishment is important for accurate model construction. Both statistical and artificial intelligent methods suggest competitive characterization and optimization results in HDP-CVD $SiO_2$ deposition process, and the NN based-GA method showed 26% uniformity improvement with 36% less $SiH_4$ gas usage yielding 20.8 ${\AA}/sec$ deposition rate.

Pulsed DC 마그네트론 스퍼터링으로 제조된 다층 광학박막의 특성 (The Properties of Multi-Layered Optical Thin Films Fabricated by Pulsed DC Magnetron Sputtering)

  • 김동원
    • 한국표면공학회지
    • /
    • 제52권4호
    • /
    • pp.211-226
    • /
    • 2019
  • Optical thin films were deposited by using a reactive pulsed DC magnetron sputtering method with a high density plasma(HDP). In this study, the effect of sputtering process conditions on the microstructure and optical properties of $SiO_2$, $TiO_2$, $Nb_2O_5$ thin films was clarified. These thin films had flat and dense microstructure, stable stoichiometric composition at the optimal conditions of low working pressure, high pulsed DC power and RF power(HDP). Also, the refractive index of the $SiO_2$ thin films was almost constant, but the refractive indices of $TiO_2$ and $Nb_2O_5$ thin films were changed depending on the microstructure of these films. Antireflection films of $Air/SiO_2/Nb_2O_5/SiO_2/Nb_2O_5/SiO_2/Nb_2O_5/Glass$ structure designed by Macleod program were manufactured by our developed sputtering system. Transmittance and reflectance of the manufactured multilayer films showed outstanding value with the level of 95% and 0.3%, respectively, and also had excellent durability.

Dry Etching Properties of HfAlO3 Thin Film with Addition O2 gas Using a High Density Plasma

  • Woo, Jong-Chang;Lee, Yong-Bong;Kim, Jeong-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • 제15권3호
    • /
    • pp.164-169
    • /
    • 2014
  • We investigated the etching characteristics of $HfAlO_3$ thin films in $O_2/Cl_2/Ar$ and $O_2/BCl_3/Ar$ gas, using a high-density plasma (HDP) system. The etch rates of the $HfAlO_3$ thin film obtained were 30.1 nm/min and 36 nm/min in the $O_2/Cl_2/Ar$ (3:4:16 sccm) and $O_2/BCl_3/Ar$ (3:4:16 sccm) gas mixtures, respectively. At the same time, the etch rate was measured as a function of the etching parameter, namely as the process pressure. The chemical states on the surface of the etched $HfAlO_3$ thin films were investigated by X-ray photoelectron spectroscopy. Auger electron spectroscopy was used for elemental analysis on the surface of the etched $HfAlO_3$ thin films. These surface analyses confirm that the surface of the etched $HfAlO_3$ thin film is formed with nonvolatile by-product. Also, Cl-O can protect the sidewall due to additional $O_2$.

원자층 식각을 이용한 Sub-32 nm Metal Gate/High-k Dielectric CMOSFETs의 저손상 식각공정 개발에 관한 연구

  • 민경석;김찬규;김종규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.463-463
    • /
    • 2012
  • ITRS (international technology roadmap for semiconductors)에 따르면 MOS(metal-oxide-semiconductor)의 CD (critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/$SiO_2$를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두된다고 보고하고 있다. 일반적으로 high-k dielectric를 식각시 anisotropic 한 식각 형상을 형성시키기 위해서 plasma를 이용한 RIE (reactive ion etching)를 사용하고 있지만 PIDs (plasma induced damages)의 하나인 PIED (plasma induced edge damage)의 발생이 문제가 되고 있다. PIED의 원인으로 plasma의 direct interaction을 발생시켜 gate oxide의 edge에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 high-k dielectric의 식각공정에 HDP (high density plasma)의 ICP (inductively coupled plasma) source를 이용한 원자층 식각 장비를 사용하여 PIED를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. One-monolayer 식각을 위한 1 cycle의 원자층 식각은 총 4 steps으로 구성 되어 있다. 첫 번째 step은 Langmuir isotherm에 의하여 표면에 highly reactant atoms이나 molecules을 chemically adsorption을 시킨다. 두 번째 step은 purge 시킨다. 세 번째 step은 ion source를 이용하여 발생시킨 Ar low energetic beam으로 표면에 chemically adsorbed compounds를 desorption 시킨다. 네 번째 step은 purge 시킨다. 결과적으로 self limited 한 식각이 이루어짐을 볼 수 있었다. 실제 공정을 MOS의 high-k dielectric에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU (North Carolina State University) CVC model로 구한 EOT (equivalent oxide thickness)는 변화가 없으면서 mos parameter인 Ion/Ioff ratio의 증가를 볼 수 있었다. 그 원인으로 XPS (X-ray photoelectron spectroscopy)로 gate oxide의 atomic percentage의 분석 결과 식각 중 발생하는 gate oxide의 edge에 trap의 감소로 기인함을 확인할 수 있었다.

  • PDF

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • 민경석;오종식;김찬규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF

Mechanism Study of Flowable Oxide Process for Sur-100nm Shallow Trench Isolation

  • Kim, Dae-Kyoung;Jang, Hae-Gyu;Lee, Hun;In, Ki-Chul;Choi, Doo-Hwan;Chae, Hee-Yeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.68-68
    • /
    • 2011
  • As feature size is smaller, new technology are needed in semiconductor factory such as gap-fill technology for sub 100nm, development of ALD equipment for Cu barrier/seed, oxide trench etcher technology for 25 nm and beyond, development of high throughput Cu CMP equipment for 30nm and development of poly etcher for 25 nm and so on. We are focus on gap-fill technology for sub-30nm. There are many problems, which are leaning, over-hang, void, micro-pore, delaminate, thickness limitation, squeeze-in, squeeze-out and thinning phenomenon in sub-30 nm gap fill. New gap-fill processes, which are viscous oxide-SOD (spin on dielectric), O3-TEOS, NF3 Based HDP and Flowable oxide have been attempting to overcome these problems. Some groups investigated SOD process. Because gap-fill performance of SOD is best and process parameter is simple. Nevertheless these advantages, SOD processes have some problems. First, material cost is high. Second, density of SOD is too low. Therefore annealing and curing process certainly necessary to get hard density film. On the other hand, film density by Flowable oxide process is higher than film density by SOD process. Therefore, we are focus on Flowable oxide. In this work, dielectric film were deposited by PECVD with TSA(Trisilylamine - N(SiH3)3) and NH3. To get flow-ability, the effect of plasma treatment was investigated as function of O2 plasma power. QMS (quadruple mass spectrometry) and FTIR was used to analysis mechanism. Gap-filling performance and flow ability was confirmed by various patterns.

  • PDF

Characteristic of Lower Hydrogenated Oxide Films Deposited by the Higher Energy Assisting Deposition Systems Using the with Precursor Siloxane Species

  • Kim, J.;Yang, J.;Park, G.;Hur, G.;Lee, J.;Ban, W.;Jung, D.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.339.1-339.1
    • /
    • 2014
  • In this paper we studied the application of inter-poly dielectric as silicon dioxide-like film was deposited by the higher energy assisting deposition (HEAD) process the modified CCP process, which enables low temperature (LT) process and improving film density. In these experiments the relative hydrogen concentration of $SiO_2$-like films deposited on silicon substrate were analyzed by the secondary ion mass spectroscopy (SIMS) and it was shown that our lower hydrogenated oxide (LHO) film prepared by HEAD process with the precursor contained the siloxane species had lower hydrogen concentration, $8{\times}10{\cdot}^{22}cm{\cdot}^3$ than that of the commercial undoped silicon glass (USG) film ($1{\times}10{\cdot}^{21}cm{\cdot}^3$) prepared by the high density plasma-chemical vapor deposition (HDP-CVD). We consider that the LHO film deposited by HEAD process used as high performance material into Flash memory devices.

  • PDF

The Dry Etching Properties of TaN Thin Film Using Inductively Coupled Plasma

  • Woo, Jong-Chang;Joo, Young-Hee;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제13권6호
    • /
    • pp.287-291
    • /
    • 2012
  • We investigated the etching characteristics of TaN thin films in an $O_2/BCl_3/Cl_2/Ar$ gas using a high density plasma (HDP) system. A maximum etch rate of the TaN thin films and the selectivity of TaN to $SiO_2$ were obtained as 172.7 nm/min and 6.27 in the $O_2/BCl_3/Cl_2/Ar$ (3:2:18:10 sccm) gas mixture, respectively. At the same time, the etch rate was measured as a function of the etching parameters, such as the RF power, DC-bias voltage, and process pressure. The chemical states on the surface of the etched TaN thin films were investigated using X-ray photoelectron spectroscopy. Auger electron spectroscopy was used for elemental analysis on the surface of the etched TaN thin films. These surface analyses confirm that the surface of the etched TaN thin film is formed with the nonvolatile by-product.

(CyOz)-SiHx 전구체로 중착된 저유전상수 유동박막의 산소 분압에 따른 특성 연구

  • 이채민;오효진;김훈배;박지수;박대원;정동근;김대경;채희엽
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.344-344
    • /
    • 2013
  • 칩의 크기가 감소함에 따라 RC (Resistance, Capacitance) 지연, 전력소비증가 및 신호잡음 등이 문제가 되어왔다. RC지연 문제는 배선에 알루미늄 보다 비저항이 낮은 구리를 사용하고 절연막으로 유전상수가 낮은 물질을 사용하여 개선될 수 있다. 이와 같은 맥락에서 점차 저유전상수 박막의 필요성은 증가하고 있다. 그러므로 이를 개선하기 위해 저 유전상수 값을 가지는 물질을 개발 혹은, UV나 플라즈마 그리고 열을 이용하여 처리하는 연구가 절실히 요구되고 있으며, 현재 많은 연구가 진행되고 있다. 이 논문에서 저유전박막은 HDP-CVD (High Density Plasma Chemical Vapor Deposition) 시스템에서 (CyOz)-SiHx와 O2의 비율을 각각 변화시키면서 증착 되었다. (CyOz)-SiHx와 O2의 비율은 60/150, 60/180, 60/210, 60/240로 증가하면서 증착하였다. 그리고 surface profilometer을 이용하여 박막의 증착율을 측정하고 LCR meter를 이용하여 정전용량을 측정하여 유전상수 값을 얻었다. 박막의 화학적 조성과 구조는 FTIR (Fourier Transform Infrared Spectroscopy)로 측정하였다. 박막의 유동 특성은 SEM (Scanning electron microscope) 이미지로 살펴보았다.

  • PDF