• 제목/요약/키워드: Global Planarization

검색결과 86건 처리시간 0.023초

패드 특성이 W CMP 공정에 미치는 영향 (Effects of W CMP Process on PAD Characterization)

  • 김상용;서용진;정헌상;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 추계학술대회 논문집 Vol.15
    • /
    • pp.178-181
    • /
    • 2002
  • We studied the characteristics of polishing pad, which can apply W CMP process for global planarization of multilevel interconnection structure. Also we investigated the effects of different sets of polishing pad. The purpose of this experiment is the cost reduction by the increase of pad life time and decrease of cycle time and slurry usage with new pad. Especially we studied the effect of polishing pad for CMP process by this experiment of polishing pad that is consumables material during CMP process. We expecting the increase of process throughput and improvement of device manufacturing yield because we can choose optimum polishing pad through this result.

  • PDF

혼합 연마제 슬러리를 이용한 Oxide CMP 특성에 관한 연구 (A Study on the Oxide CMP Characteristics of using Mixed Abrasive Slurry(MAS))

  • 이성일;박성우;이우선;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 제37회 하계학술대회 논문집 B
    • /
    • pp.1267-1268
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by do-ionized water (DIW). And then, $ZrO_2,CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

$ZrO_2$ - DSS의 CMP 특성에 관한 연구 (A Study on the Oxide CMP Characteristics using $ZrO_2$ -Diluted Silica Slurry($ZrO_2$ -DSS))

  • 이성일;박성우;이우선;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.85-86
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables arc relatively high because of expensive slurry. In this paper, in order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, abrasives were added in the diluted silica slurry (DSS) in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry (MAS) for the oxide CMP application.

  • PDF

MAS (Mixed Abrasive Slurry)가 Metal CMP에 미치는 영향 (Effects of Mixed Abrasive Slurry(MAS) on Metal CMP Characteristics)

  • 이영균;박성우;이우선;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.81-82
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, so as to investigate the influence of mixed abrasive slurry (MAS), such as $ZrO_2$, $CeO_2$, and $MnO_2$ for Ti-CMP application.

  • PDF

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제3C권1호
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

혼합 연마제 슬러리를 이용한 Oxide CMP 특성에 관한 연구 (A Study on the Oxide CMP Characteristics of using Mixed Abrasive Slurry(MAS))

  • 이성일;박성우;이우선;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 제37회 하계학술대회 논문집 C
    • /
    • pp.1727-1728
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry(MAS). In order to save the costs of slurry, the original silica slurry was diluted by do-ionized water (DIW). And then, $ZrO_2$,$CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

스퍼터된 Cu웨이퍼의 연마횟수에 대한 CMP특성 (CMP characteristics of sputtered Cu films for polishing time)

  • 이우선;손동민;박진성;김주승;정찬문;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2002년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.122-123
    • /
    • 2002
  • Cu CMP process control for global planarization of semiconductor surface were studied on a platen polisher by using an experimental copper slurry containing ceria as the abrasive component. In order to understand the process. a design of experiment was conducted. From the experiment. the effects of polishing parameters such as polishing pressure, platen speed, and speed of wafer carrier on the removal rate of copper and the uniformity in copper removal were calculated and discussed. In this study, process parameters of Cu CMP and WIWNU(Within Wafer Non Uniformity) were presented.

  • PDF

산화제 첨가에 따른 $WO_3$ 박막의 CMP 특성 (Characteristic of Addition Oxidizer on the $WO_3$ Thin Film CMP)

  • 이우선;고필주;최권우;김태완;최창주;오금곤;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.313-316
    • /
    • 2004
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics(ILD). we investigated the performance of $WO_3$ CMP used silica slurry, ceria slurry, tungsten slurry In this paper, the effects of addition oxidizer on the $WO_3$ CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity.

  • PDF

슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film by different slurry)

  • 최권우;이우선;고필주;김태완;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

$SnO_2$ 박막의 CMP 특성 (CMP properties of $SnO_2$ thin film)

  • 이우선;최권우;고필주;홍광준;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
    • /
    • pp.184-187
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) lyaer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2-CMP$ process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis or used slurry.

  • PDF