• Title/Summary/Keyword: End Point Detection (EPD)

Search Result 21, Processing Time 0.02 seconds

Real-time malfunction detection of plasma etching process using EPD signal traces (EPD 신호궤적을 이용한 플라즈마 식각공정의 실시간 이상검출)

  • Cha, Sang-Yeob;Yi, Seok-Ju;Koh, Taek-Beom;Woo, Kwang-Bang
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.2
    • /
    • pp.246-255
    • /
    • 1998
  • This paper presents a novel method for real-time malfunction detection of plasma etching process using EPD signal traces. First, many reference EPD signal traces are collected using monochromator and data acquisition system in normal etching processes. Critical points are defined by applying differentiation and zero-crossing method to the collected reference signal traces. Critical parameters such as intensity, slope, time, peak, overshoot, etc., determined by critical points, and frame attributes transformed signal-to symbol of reference signal traces are saved. Also, UCL(Upper Control Limit) and LCL(Lower Control Limit) are obtained by mean and standard deviation of critical parameters. Then, test EPD signal traces are collected in the actual processes, and frame attributes and critical parameters are obtained using the above mentioned method. Process malfunctions are detected in real-time by applying SPC(Statistical Process Control) method to critical parameters. the Real-time malfunction detection method presented in this paper was applied to actual processes and the results indicated that it was proved to be able to supplement disadvantages of existing quality control check inspecting or testing random-selected devices and detect process malfunctions correctly in real-time.

  • PDF

Accurate Speech Detection based on Sub-band Selection for Robust Keyword Recognition (강인한 핵심어 인식을 위해 유용한 주파수 대역을 이용한 음성 검출기)

  • Ji Mikyong;Kim Hoirin
    • Proceedings of the KSPS conference
    • /
    • 2002.11a
    • /
    • pp.183-186
    • /
    • 2002
  • The speech detection is one of the important problems in real-time speech recognition. The accurate detection of speech boundaries is crucial to the performance of speech recognizer. In this paper, we propose a speech detector based on Mel-band selection through training. In order to show the excellence of the proposed algorithm, we compare it with a conventional one, so called, EPD-VAA (EndPoint Detector based on Voice Activity Detection). The proposed speech detector is trained in order to better extract keyword speech than other speech. EPD-VAA usually works well in high SNR but it doesn't work well any more in low SNR. But the proposed algorithm pre-selects useful bands through keyword training and decides the speech boundary according to the energy level of the sub-bands that is previously selected. The experimental result shows that the proposed algorithm outperforms the EPD-VAA.

  • PDF

플라즈마 식각공정의 종말점 검출(End Point Detection) 제어

  • 우광방
    • ICROS
    • /
    • v.4 no.4
    • /
    • pp.41-44
    • /
    • 1998
  • 이 글에서는 플라즈마 식각공정의 진행에 있어서 중요한 EPD제어기법과 파라미터 최적화에 대해 서술하였다. 또한 플라즈마 응용 식각장비의 발전경향과 다중체널 제어기의 개발에 대해서도 알아보았다. 현재의 연구는 기존의 장비를 이용하여 회로를 보다 미세화하고자 하는 연구와 새로운 장비의 개발을 통한 고집적화로 구분할 수 있다. 또한 제품의 가격 경쟁력을 위해서 웨이퍼의 대구경화가 일반적인 추세이다. 웨이퍼의 대구경화는 불균일도의 극복을 위해 새로운 제어와 보다 향상된 EPD기법을 필요로 한다. 따라서 기존의 제어기법을 향상시키려는 노력과 새로운 검출기법에 대한 연구도 지속적으로 진행되고 있다.

  • PDF

A Study of End Point Detection Measurement for STI-CMP Applications (STI-CMP 공정 적용을 위한 연마 정지점 고찰)

  • 김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF

A Study on Characterization and Modeling of Shallow Trench Isolation in Oxide Chemical Mechanical Polishing

  • Kim, Sang-Yong;Chung, Hun-Sang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.2 no.3
    • /
    • pp.24-27
    • /
    • 2001
  • The end point of oxide chemical mechanical polishing (CMP) have determined by polishing time calculated from removal rate and target thickness of oxide. This study is about control of oxide removal amounts on the shallow trench isolation (STI) patterned wafers using removal rate and thickness of blanket (non-patterned) wafers. At first, it was investigated the removal properties of PETEOS blanket wafers, and then it was compared with the removal properties and the planarization (step height) as a function of polishing time of the specific STI patterned wafers. We found that there is a relationship between the oxide removal amounts of blanket and patterned wafers. We analyzed this relationship, and the post CMP thickness of patterned wafers could be controlled by removal rate and removal target thickness of blanket wafers. As the result of correlation analysis, we confirmed that there was the strong correlation between patterned and blanket wafer (correlation factor: 0.7109). So, we could confirm the repeatability as applying for STI CMP process from the obtained linear formula. As the result of repeatability test, the differences of calculated polishing time and actual polishing time was about 3.48 seconds. If this time is converted into the thickness, then it is from 104 $\AA$ to 167 $\AA$. It is possible to be ignored because process margin is about 1800 $\AA$.

  • PDF

A Study of Chemical Mechanical Polishing on Shallow Trench Isolation to Reduce Defect (CMP 연마를 통한 STI에서 결함 감소)

  • 백명기;김상용;김창일;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.05a
    • /
    • pp.501-504
    • /
    • 1999
  • In the shallow trench isolation(STI) chemical mechanical polishing(CMP) process, the key issues are the optimized thickness control within- wafer-non-uniformity, and the possible defects such as nitride residue and pad oxide damage. These defects after STI CMP process were discussed to accomplish its optimum process condition. To understand its optimum process condition, overall STI related processes including reverse moat etch, trench etch, STI filling and STI CMP were discussed. It is represented that the nitride residue can be occurred in the condition of high post CMP thickness and low trench depth. In addition there are remaining oxide on the moat surface after reverse moat etch. It means that reverse moat etching process can be the main source of nitride residue. Pad oxide damage can be caused by over-polishing and high trench depth.

  • PDF

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STD structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters. we studied the correlation between CMP thickness of STI using high selectivity slurry. DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased. the N-poly foot is deteriorated. and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point,, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by $100\AA$. 3.2 $u\AA$ of IDSN is getting better in base 1 condition. In POE 50% condition. 1.7 $u\AA$ is improved. and 0.7 $u\AA$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • 김상용;정헌상;박민우;김창일;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STI) structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters, we studied the correlation between CMP thickness of STI using high selectivity slurry, DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased, the N-poly foot is deteriorated, and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by 100 ${\AA}$, 3.2 u${\AA}$ of IDSN is getting better in base 1 condition. In POE 50% condition, 1.7 u${\AA}$ is improved, and 0.7 u${\AA}$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

The Design of Speech Recognition Chip for a Small Vocabulary as a Word-level (소어휘 단어단위의 음성인식 칩 설계)

  • 안점영;최영식
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.6 no.2
    • /
    • pp.330-338
    • /
    • 2002
  • A speech recognition chip that can recognize a small vocabulary as a word-level has been designed. It is composed of EPD(Start and End-point detection) block, LPC block, DTW block and external memory interface block. It is made of 126,938 gates on 4x4mm2 area with a CMOS 0.35um TLM process. The speed of the chip varies from 5MHz to 60MHz because of its specific hardware designed for the purpose. It can compare 100,000 voices as a small vocabulary which has approximately 50∼60 frames at the clock of 5MHz and also up to 1,200,000 voices at the clock of 60MHz.

Study on the Optimization of HSS STI-CMP Process (HSS STI-CMP 공정의 최적화에 관한 연구)

  • Jeong, So-Young;Seo, Yong-Jin;Park, Sung-Woo;Kim, Chul-Bok;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.149-153
    • /
    • 2003
  • Chemical mechanical polishing (CMP) technology for global planarization of multi-level inter-connection structure has been widely studied for the next generation devices. CMP process has been paid attention to planarized pre-metal dielectric (PMD), inter-layer dielectric (ILD) interconnections. Expecially, shallow trench isolation (STI) used to CMP process on essential. Recently, the direct STI-CMP process without the conventional complex reverse moat etch process has established by using slurry additive with the high selectivity between $SiO_2$ and $Si_3N_4$ films for the purpose of process simplification and n-situ end point detection(EPD). However, STI-CMP process has various defects such as nitride residue, tom oxide and damage of silicon active region. To solve these problems, in this paper, we studied the planarization characteristics using a high selectivity slurry(HSS). As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of HSS STI-CMP process.

  • PDF