• 제목/요약/키워드: Cu interconnect

검색결과 84건 처리시간 0.028초

Cu 배선의 평탄화를 위한 ECMD에 관한 연구 (Electro-chemical Mechanical Deposition for Planarization of Cu Interconnect)

  • 정석훈;서헌덕;박범영;박재홍;박성민;정문기;정해도;김형재
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.793-797
    • /
    • 2005
  • This study introduces Electro-chemical Mechanical Deposition(ECMD) lot making Cu interconnect. ECMD is a novel technique that has ability to deposit planar conductive films on non-planar substrate surfaces. Technique involves electrochemical deposition(ECD) and mechanical sweeping of the substrate surface Preferential deposition into the cavities on the substrate surface nay be achieved through two difference mechanisms. The first mechanism is more chemical and essential. It involves enhancing deposition into the cavities where mechanical sweeping does not reach. The second mechanism involves reducing deposition onto surface that is swept. In this study, we demonstrate ECMD process and characteristic. We proceeded this experiment by changing of distribution of current density on divided water area zones and use different pad types.

Superconformal gap-filling of nano trenches by metalorganic chemical vapor deposition (MOCVD) with hydrogen plasma treatment

  • Moon, H.K.;Lee, N.E.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.246-246
    • /
    • 2010
  • As the trench width in the interconnect technology decreases down to nano-scale below 50 nm, superconformal gap-filling process of Cu becomes very critical for Cu interconnect. Obtaining superconfomral gap-filling of Cu in the nano-scale trench or via hole using MOCVD is essential to control nucleation and growth of Cu. Therefore, nucleation of Cu must be suppressed near the entrance surface of the trench while Cu layer nucleates and grows at the bottom of the trench. In this study, suppression of Cu nucleation was achieved by treating the Ru barrier metal surface with capacitively coupled hydrogen plasma. Effect of hydrogen plasma pretreatment on Cu nucleation was investigated during MOCVD on atomic-layer deposited (ALD)-Ru barrier surface. It was found that the nucleation and growth of Cu was affected by hydrogen plasma treatment condition. In particular, as the plasma pretreatment time and electrode power increased, Cu nucleation was inhibited. Experimental data suggests that hydrogen atoms from the plasma was implanted onto the Ru surface, which resulted in suppression of Cu nucleation owing to prevention of adsorption of Cu precursor molecules. Due to the hydrogen plasma treatment of the trench on Ru barrier surface, the suppression of Cu nucleation near the entrance of the trenches was achieved and then led to the superconformal gap filling of the nano-scale trenches. In the case for without hydrogen plasma treatments, however, over-grown Cu covered the whole entrance of nano-scale trenches. Detailed mechanism of nucleation suppression and resulting in nano-scale superconformal gap-filling of Cu will be discussed in detail.

  • PDF

Thermal Stability of Self-formed Barrier Stability Using Cu-V Thin Films

  • 한동석;문대용;김웅선;박종완
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.188-188
    • /
    • 2011
  • Recently, scaling down of ULSI (Ultra Large Scale Integration) circuit of CMOS (Complementary Meta Oxide Semiconductor) based electronic devices, the electronic devices, become much faster and smaller size that are promising property of semiconductor market. However, very narrow interconnect line width has some disadvantages. Deposition of conformal and thin barrier is not easy. And metallization process needs deposition of diffusion barrier and glue layer for EP/ELP deposition. Thus, there is not enough space for copper filling process. In order to get over these negative effects, simple process of copper metallization is important. In this study, Cu-V alloy layer was deposited using of DC/RF magnetron sputter deposition system. Cu-V alloy film was deposited on the plane SiO2/Si bi-layer substrate with smooth surface. Cu-V film's thickness was about 50 nm. Cu-V alloy film deposited at $150^{\circ}C$. XRD, AFM, Hall measurement system, and AES were used to analyze this work. For the barrier formation, annealing temperature was 300, 400, $500^{\circ}C$ (1 hour). Barrier thermal stability was tested by I-V(leakage current) and XRD analysis after 300, 500, $700^{\circ}C$ (12 hour) annealing. With this research, over $500^{\circ}C$ annealed barrier has large leakage current. However vanadium-based diffusion barrier annealed at $400^{\circ}C$ has good thermal stability. Therefore thermal stability of vanadium-based diffusion barrier is desirable for copper interconnection.

  • PDF

Real-time Monitoring of Cu Plating Process for Semiconductor Interconnect

  • Wang, Li;Jee, Young-Joo;Soh, Dae-Wha;Hong, Sang-Jeen
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 추계학술대회 논문집
    • /
    • pp.64-64
    • /
    • 2009
  • As the advanced packaging technology developing, Copper electro-plating processing has be wildly utilized in the semiconductor interconnect technique. Chemical solution monitoring methods, including PH and gravity measurement exist in industry, but economical and practical real-time monitoring has not been achieved yet. Red-green-blue (RGB) color sensor can successfully monitor the condition of $CuSO_4$ solution during electric copper plating process. Comparing the intensity variations of the RGB data and optical spectroscopy data, strong correlation between two in-situ sensors have shown.

  • PDF

Investigation of Vanadium-based Thin Interlayer for Cu Diffusion Barrier

  • 한동석;박종완;문대용;박재형;문연건;김웅선;신새영
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2011년도 춘계학술발표대회
    • /
    • pp.41.2-41.2
    • /
    • 2011
  • Recently, scaling down of ULSI (Ultra Large Scale Integration) circuit of CMOS (Complementary Metal Oxide Semiconductor) based electronic devices become much faster speed and smaller size than ever before. However, very narrow interconnect line width causes some drawbacks. For example, deposition of conformal and thin barrier is not easy moreover metallization process needs deposition of diffusion barrier and glue layer. Therefore, there is not enough space for copper filling process. In order to overcome these negative effects, simple process of copper metallization is required. In this research, Cu-V thin alloy film was formed by using RF magnetron sputter deposition system. Cu-V alloy film was deposited on the plane $SiO_2$/Si bi-layer substrate with smooth and uniform surface. Cu-V film thickness was about 50 nm. Cu-V layer was deposited at RT, 100, 150, 200, and $250^{\circ}C$. XRD, AFM, Hall measurement system, and XPS were used to analyze Cu-V thin film. For the barrier formation, Cu-V film was annealed at 200, 300, 400, 500, and $600^{\circ}C$ (1 hour). As a result, V-based thin interlayer between Cu-V film and $SiO_2$ dielectric layer was formed by itself with annealing. Thin interlayer was confirmed by TEM (Transmission Electron Microscope) analysis. Barrier thermal stability was tested with I-V (for measuring leakage current) and XRD analysis after 300, 400, 500, 600, and $700^{\circ}C$ (12 hour) annealing. With this research, over $500^{\circ}C$ annealed barrier has large leakage current. However V-based diffusion barrier annealed at $400^{\circ}C$ has good thermal stability. Thus, thermal stability of vanadium-based thin interlayer as diffusion barrier is good for copper interconnection.

  • PDF

전기화학 기계적 연마를 이용한 Cu 배선의 평탄화 (Planarization of Cu intereonnect using ECMP process)

  • 정석훈;서현덕;박범영;박재홍;이호준;오지헌;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.79-80
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing (CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical planarization/polishing (ECMP) or electro-chemical mechanical planarization was introduced to solve the. technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

  • PDF

Effect of Microstructure on Alternating Current-induced Damage in Cu Lines

  • Park Young-Bae
    • 마이크로전자및패키징학회지
    • /
    • 제12권1호
    • /
    • pp.27-33
    • /
    • 2005
  • The effect of microstructure on alternating current-induced damage in 200 and 300 nm thick polycrystalline sputtered Cu lines on Si substrates has been investigated. Alternating currents were used to generate temperature cycles (with ranges from 100 to $300^{\circ}C$) and thermal strains (with ranges from 0.14 to $0.42\%$) in the Cu lines at a frequency of 10 kHz. Fatigue loading caused the development of severe surface roughness that was localized within individual grains which depends severely on grain orientations.

  • PDF

Electromigration-induced void evolution in upper and lower layer dual-inlaid Copper interconnect structures

  • Pete, D.J.;Mhaisalkar, S.G.;Helonde, J.B.;Vairagar, A.V.
    • Advances in materials Research
    • /
    • 제1권2호
    • /
    • pp.109-113
    • /
    • 2012
  • Electromigration-induced void evolutions in typical upper and lower layer dual-inlaid Copper (Cu) interconnect structures were simulated by applying a phenomenological model resorting to Monte Carlo based simulations, which considers redistribution of heterogeneously nucleated voids and/or pre-existing vacancy clusters at the Copper/dielectric cap interface during electromigration. The results indicate that this model can qualitatively explain the electromigration-induced void evolutions observations in many studies reported by several researchers heretofore. These findings warrant need to re-investigate technologically important electromigration mechanisms by developing rigorous models based on similar concepts.