• Title/Summary/Keyword: Cu diffusion barrier

Search Result 142, Processing Time 0.023 seconds

A Study on Cu(B)/Ti/SiO2/Si Structure for Application to Advanced Manufacturing Process (차세대 공정에 적용 가능한 Cu(B)/Ti/SiO2/Si 구조 연구)

  • Lee Seob;Lee Jaegab
    • Korean Journal of Materials Research
    • /
    • v.14 no.4
    • /
    • pp.246-250
    • /
    • 2004
  • We have investigated the effects of boron added to Cu film on the Cu-Ti reaction and microstructural evolution of Cu(B) alloy film during annealing of Cu(B)/Ti/$SiO_2$/Si structure. The result were compared with those of Cu(B)/$SiO_2$ structure to identify the effects of Ti glue layers on the Boron behavior and the result grain growth of Cu(B) alloy. The vacuum annealing of Cu(B)/Ti/$SiO_2$ multilayer structure allowed the diffusion of B to the Ti surface and forming $TiB_2$ compounds at the interface. The formed $TiB_2$ can act as a excellent diffusion barrier against Cu-Ti interdiffusion up to $800^{\circ}C$. Also, the resistivity was decreased to $2.3\mu$$\Omega$-cm after annealing at $800^{\circ}C$. In addition, the presence of Ti underlayer promoted the growth Cu(l11)-oriented grains and allowed for normal growth of Cu(B) film. This is in contrast with abnormal growth of randomly oriented Cu grains occurring in Cu(B)/$SiO_2$ upon annealing. The Cu(B)/Ti/$SiO_2$ structure can be implemented as an advanced metallization because it exhibits the low resistivity, high thermal stability and excellent diffusion barrier property.

Characteristic of Zr(Si)N film as a diffusion barrier between Cu metal and Si substrate (Cu 금속과 Si 기판 사이에서 확산방지막으로 사용하기 위한 Zr(Si)N 박막의 특성)

  • 김좌연;조병철;채상훈;김헌창;박경순
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.12 no.6
    • /
    • pp.283-287
    • /
    • 2002
  • We have studied Zr(Si)N film as a diffusion barrier between Cu metal and Si substrate for application of interconnection metal in ULSI circuits. Zr(Si)N film was deposited with reactive DC magnetron sputtering system using $Ar/N_2$mixed gas. The value of the resistivity was the lowest for the ZrN film using 29 : 1 of Ar : $N_2$reactant gas ratio at room temperature and decreased with increasing of Si substrate temperature. As the value of ZrN film resistivity was decreased, the direction of crystal growth was toward to (002) plane. The barrier property of ZrN film added with Si was improved. But Si was added too much in ZrN film, the barrier property was degraded. The adhesive property was improved with increasing of Si in ZrN. For the analysis of the film, XRD, Optical microscopy, Scretch tester, so on were used.

Adhesion and Diffusion Barrier Properties of $TaN_x$ Films between Cu and $SiO_2$ (Cu 박막과 $SiO_2$ 절연막사이의 $TaN_x$ 박막의 접착 및 확산방지 특성)

  • Kim, Yong-Chul;Lee, Do-Seon;Lee, Won-Jong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.16 no.3
    • /
    • pp.19-24
    • /
    • 2009
  • Formation of an adhesion/barrier layer and a seed layer by sputtering techniques followed by electroplating has been one of the most widely used methods for the filling of through-Si via (TSV) with high aspect ratio for 3-D packaging. In this research, the adhesion and diffusion-barrier properties of the $TaN_x$ film deposited by reactive sputtering were investigated. The adhesion strength between Cu film and $SiO_2$/Si substrate was quantitatively measured by $180^{\circ}$ peel test and topple test as a function of the composition of the adhesive $TaN_x$ film. As the nitrogen content increased in the adhesive $TaN_x$ film, the adhesion strength between Cu and $SiO_2$/Si substrate increased, which was attributed to the increased formation of interfacial compound layer with the nitrogen flow rate. We also examined the diffusion-barrier properties of the $TaN_x$ films against Cu diffusion and found that it was improved with increasing nitrogen content in the $TaN_x$ film up to N/Ta ratio of 1.4.

  • PDF

Electrical Characteristics of p+/n Junctions with Cu/Ti-capping/NiSi Electrode (Cu/Ti-cappng/NiSi 전극구조 p+/n 접합의 전기적 특성)

  • Lee Keun-Yoo;Kim Ju-Youn;Bae Kyoo-Sik
    • Korean Journal of Materials Research
    • /
    • v.15 no.5
    • /
    • pp.318-322
    • /
    • 2005
  • Ti-capped NiSi contacts were formed on $p^+/n$ junctions to improve the leakage problem and then Cu was deposited without removing the Ti-capping layer in an attempt to utilize as a diffusion barrier. The electrical characteristics of these $p^+/n$ diodes with Cu/Ti/NiSi electrodes were measured as a function of drive-in RTA(rapid-thermal annealing) and silicidation temperature and time. When drive-in annealed at $900^{\circ}C$, 10 sec. and silicided at $500^{\circ}C$, 100 sec., the diodes showed the most excellent I-V characteristics. Especially, the leakage current was $10^{-10}A$, much lower than reported data for diodes with NiSi contacts. However, when the $p^+/n$ diodes with Cu/Ti/NiSi contacts were furnace-annealed at $400^{\circ}C$ for 40 min., the leakage current increased by 4 orders. The FESEM and AES analysis revealed that the Ti-capping layer effectively prohibited the Cu diffusion, but was ineffective against the NiSi dissociation and consequent Ni diffusion.

A study on Electrical and Diffusion Barrier Properties of MgO Formed on Surface as well as at the Interface Between Cu(Mg) Alloy and $SiO_2$ (Cu(Mg) alloy의 표면과 계면에서 형성된 MgO의 확산방지능력 및 표면에 형성된 MgO의 전기적 특성 연구)

  • Jo, Heung-Ryeol;Jo, Beom-Seok;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.10 no.2
    • /
    • pp.160-165
    • /
    • 2000
  • We have investigated the electrical and diffusion barrier properties of MgO produced on the surface of Cu (Mg) alloy. Also the diffusion barrier property of the interfacial MgO between Cu alloy and $SiO_2$ has been examined. The results show that the $150\;{\AA}$-MgO layer on the surface remains stable up to $700^{\circ}C$, preventing the interdiffusion of C Cu and Si in Si/MgO/Cu(Mg) structure. It also has the breakdown voltage of 4.5V and leakage current density of $10^{-7}A/\textrm{cm}^2/$. In addition, the combined structure of $Si_3N4(100{\AA})/MgO(100{\AA})$ increases the breakdown voltage up to lOV and reduces the leakage current density to $8{\tiems}10^{-7}A/\textrm{cm}^2$. Furthermore, the interfacial MgO formed by the chemical reac­t tion of Mg and $SiO_2$ reduces the diffusion of copper into $SiO_2$ substrate. Consequently, Cu(Mg) alloy can be applied as a g gate electrode in TFT /LCDs, reducing the process steps.

  • PDF

Electromigration Characteristics Stduy DCV Interconnect Structures in Cu Dual-Damascene Process (Cu Dual Damascene 배선 공정에서의 DCV 배선구조의 EM 특성 연구)

  • Lee, Hyun-Ki;Choi, Min-Ho;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.123-124
    • /
    • 2005
  • We investigated the effect of a Ta/TaN Cu diffusion barrier existence on the reliability and the electrical performance of Cu dual-damascene interconnects. A high EM performance in Cu dual-damascene structure was observed the BCV(barrier contact via) interconnect structure to remain Ta/TaN barrier layer. Via resistance was decreased DCV interconnect structure by bottomless process. This structure considers that DCV interconnect structure has lower activation energy and higher current density than BCV interconnect structure. The EM failures by BCV via structure were formed at via hole, but DCV via structure was formed EM fail at the D2 line. In order to improve the EM characteristic of DCV interconnect structure by bottomless process, after Ta/TaN diffusion barrier layer in via bottom is removed by Ar+ resputtering process, it is desirable that Ta thickness is thickly made by Ta flash process.

  • PDF

MO-COMPOUNDS AS A DIFFUSION BARRIER BETWEEN Cu AND Si

  • Kim, Ji-Hyung;Lee, Yong-Hyuk;Kwon, Yong-Sung;Yeom, Geun-Young;Song, Jong-Han
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.683-690
    • /
    • 1996
  • In this study, the diffusion barrier properties of $1000 \AA$ thick molybdenum compounds (Mo, Mo-N, $MoSi_2$, Mo-Si-N) were investigated using sheet resistance measurements, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Scanning electron microscopy (SEM), and Rutherford backscattering spectrometry (RBS). Each barrier material was deposited by the dc magnetron sputtering, and annealed at 300-$800^{\circ}C$ for 30min in vacuum. Mo and $MoSi_2$ barrier were failed at low temperature due to Cu diffusion through grain bound-aries and defects of Mo thin film and the reaction of Cu with Si within $MoSi_2$ respectively. A failure temperature could be raised to $650^{\circ}C$-30min in the Mo barrier system and to $700^{\circ}C$-30min in the Mo-silicide system by replacing Mo and $MoSi_2$ with Mo-N and Mo-Si-N, respectively. The crystallization temperature in the Mo-silicide film was raised by the addition of $N_2$. It is considered that not only the N, stuffing effect but also the variation of crystallization temperature affects the reaction of Cu with Si within Mo-silicide. It was found that Mo-Si-N is more effective barrier than Mo, $MoSi_2$, or Mo-N to copper penetration preventing Cu reaction with the substrate for 30min at a temperature higher than $650^{\circ}C$.

  • PDF

The Characterization of V Based Self-Forming Barriers on Low-k Samples with or Without UV Curing Treatment

  • Park, Jae-Hyeong;Han, Dong-Seok;Gang, Yu-Jin;Sin, So-Ra;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.214.2-214.2
    • /
    • 2013
  • Device performance for the 45 and 32 nm node CMOS technology requires the integration of ultralow-k materials. To lower the dielectric constant for PECVD and spin-on materials, partial replacement of the solid network with air (k=1.01) appears to be more intuitive and direct option. This can be achieved introducting of second "labile" phase during depositoin that is removed during a subsequent UV curing and annealing step. Besides, with shrinking line dimensions the resistivity of barrier films cannot meet the International Technology Roadmap for Semiconductors (ITRS) requirements. To solve this issue self-forming diffusion barriers have drawn attention for great potential technique in meeting all ITRS requirments. In this present work, we report a Cu-V alloy as a materials for the self-forming barrier process. And we investigated diffusion barrier properties of self-formed layer on low-k dielectrics with or without UV curing treatment. Cu alloy films were directly deposited onto low-k dielectrics by co-sputtering, followed by annealing at various temperatures. X-ray diffraction revealed Cu (111), Cu (200) and Cu (220) peaks for both of Cu alloys. The self-formed layers were investigated by transmission electron microscopy. In order to compare barrier properties between V-based interlayer on low-k dielectric with UV curing and interlayer on low-k dielectric without UV curing, thermal stability was measured with various heat treatment temperature. X-ray photoelectron spectroscopy analysis showed that chemical compositions of self-formed layer. The compositions of the V based self-formed barriers after annealing were strongly dominated by the O concentration in the dielectric layers.

  • PDF

Self-formation of Diffusion Barrier at the Interface between Cu-V Alloy and $SiO_2$

  • Mun, Dae-Yong;Park, Jae-Hyeong;Han, Dong-Seok;Gang, Yu-Jin;Seo, Jin-Gyo;Yun, Don-Gyu;Sin, So-Ra;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.256-256
    • /
    • 2012
  • Cu가 기존 배선물질인 Al을 대체함에 따라 resistance-capacitance delay와 electromigration (EM) 등의 문제들이 어느 정도 해결되었다. 그러나 지속적인 배선 폭의 감소로 배선의 저항 증가, EM 현상 강화 그리고 stability 악화 등의 문제가 지속적으로 야기되고 있다. 이를 해결하기 위한 방법으로 Cu alloy seed layer를 이용한 barrier 자가형성 공정에 대한 연구를 진행하였다. 이 공정은 Cu 합금을 seed layer로 사용하여 도금을 한 후 열처리를 통해 $SiO_2$와의 계면에서 barrier를 자가 형성시키는 공정이다. 이 공정은 매우 균일하고 얇은 barrier를 형성할 수 있고 별도의 barrier와 glue layer를 형성하지 않아 seed layer를 위한 공간을 추가로 확보할 수 있는 장점을 가지고 있다. 또한, via bottom에 barrier가 형성되지 않아 배선 전체 저항을 급격히 낮출 수 있다. 합금 물질로는 초기 Al이나 Mg에 대한 연구가 진행되었으나, 낮은 oxide formation energy로 인해 SiO2에 과도한 손상을 주는 문제점이 제기되었다. 최근 Mn을 합금 물질로 사용한 안정적인 barrier 형성 공정이 보고 되고 있다. 하지만, barrier 형성을 하기 위해 300도 이상의 열처리 온도가 필요하고 열처리 시간 또한 긴 단점이 있다. 본 실험에서는 co-sputtering system을 사용하여 Cu-V 합금을 형성하였고, barrier를 자가 형성을 위해 300도에서 500도까지 열처리 온도를 변화시키며 1시간 동안 열처리를 실시하였다. Cu-V 공정 조건 확립을 위해 AFM, XRD, 4-point probe system을 이용하여 표면 거칠기, 결정성과 비저항을 평가하였다. Cu-V 박막 내 V의 함량은 V target의 plasma power density를 변화시켜 조절 하였으며 XPS를 통해 분석하였다. 열처리 후 시편의 단면을 TEM으로 분석하여 Cu-V 박막과 $SiO_2$ 사이에 interlayer가 형성된 것을 확인 하였으며 EDS를 이용한 element mapping을 통해 Cu-V 내 V의 거동과 interlayer의 성분을 확인하였다. PVD Cu-V 박막은 기판 온도에 큰 영향을 받았고, 200도 이상에서는 Cu의 높은 표면에너지에 의한 agglomeration 현상으로 거친 표면을 가지는 박막이 형성되었다. 7.61 at.%의 V함량을 가지는 Cu-V 박막을 300도에서 1시간 열처리 한 결과 4.5 nm의 V based oxide interlayer가 형성된 것을 확인하였다. 열처리에 의해 Cu-V 박막 내 V은 $SiO_2$와의 계면과 박막 표면으로 확산하며 oxide를 형성했으며 Cu-V 박막 내 V 함량은 줄어들었다. 300, 400, 500도에서 열처리 한 결과 동일 조성과 열처리 온도에서 Cu-Mn에 의해 형성된 interlayer의 두께 보다 두껍게 성장했다. 이는 V의 oxide formation energy가 Mn 보다 작으므로 SiO2와의 계면에서 산화막 형성이 쉽기 때문으로 판단된다. 또한, $V^{+5}$이온 반경이 $Mn^{+2}$이온 반경보다 작아 oxide 내부에서 확산이 용이하며 oxide 박막 내에 여기되는 전기장이 더 큰 산화수를 가지는 V의 경우 더 크기 때문으로 판단된다.

  • PDF

A Study of Reactively Sputtered Ti-Si-N Diffusion Barrier for Cu Metallization (혼합기체 sputtering 법으로 증착된 Cu 확산방지막으로의 Ti-Si-N 박막의 특성 연구)

  • Park, Sang-Gi;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.9 no.5
    • /
    • pp.503-508
    • /
    • 1999
  • We have investigated the physical and diffusion barrier property of Ti-Si-N film for Cu metallization. The ternary compound was deposited by using reactive rf magnetron sputtering of a TiSi$_2$target in an Ar/$N_2$gas mixture. Resistivities of the films were in range of 358$\mu$$\Omega$-cm, to 307941$\mu$$\Omega$-cm, and tended to increase with increasing the $N_2$/Ar flow rate ratio. The crystallization of the Ti-Si-N compound started to occur at 100$0^{\circ}C$ with the phases of TiN and Si$_3$N$_4$identified by using XRD(X-ray Diffractometer). The degree of the crystallization was influenced by the $N_2$/Ar flow ratio. The diffusion barrier property of Ti-Si-N film for Cu metallization was determined by AES, XRD and etch pit by secco etching, revealing the failure temperature of 90$0^{\circ}C$ in 43~45at% of nitrogen content. In addition, the very thin compound (10nm) with 43~45at% nitrogen content remained stable up to $700^{\circ}C$. Furthermore, thermal treatment in vacuum at $600^{\circ}C$ improved the barrier property of the Ti-Si-N film deposited at the $N_2$(Ar+$N_2$) ratio of 0.05. The addition of Ti interlayer between Ti-Si-N films caused the drastic decrease of the resistivity with slight degradation of diffusion barrier properties of the compound.

  • PDF