• Title/Summary/Keyword: Cu CMP

Search Result 132, Processing Time 0.023 seconds

Cu/SiO2 CMP Process for Wafer Level Cu Bonding (웨이퍼 레벨 Cu 본딩을 위한 Cu/SiO2 CMP 공정 연구)

  • Lee, Minjae;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.2
    • /
    • pp.47-51
    • /
    • 2013
  • Chemical mechanical polishing (CMP) has become one of the key processes in wafer level stacking technology for 3D stacked IC. In this study, two-step CMP process was proposed to polish $Cu/SiO_2$ hybrid bonding surface, that is, Cu CMP was followed by $SiO_2$ CMP to minimize Cu dishing. As a result, Cu dishing was reduced down to $100{\sim}200{\AA}$ after $SiO_2$ CMP and surface roughness was also improved. The bonding interface showed no noticeable dishing or interface line, implying high bonding strength.

Structural Analysis of the Cu-binding Site in the [Cu·dCMP·dCMP-H]1- Complex

  • Jung, Sang-Mi;Kim, Ho-Tae
    • Mass Spectrometry Letters
    • /
    • v.4 no.4
    • /
    • pp.67-70
    • /
    • 2013
  • The Cu-binding site in the $[Cu{\cdot}dCMP{\cdot}dCMP-H]^{1-}$ complex was investigated. The tandem mass (MS/MS) spectra of the [$[Cu{\cdot}dCMP{\cdot}dCMP-H]^{1-}$ parent ion showed $[dCMP{\cdot}Cu{\cdot}H_2PO_4+CONH]^{1-}$ fragment ions. Therefore, we propose that the Cu cation is simultaneously coordinated to the phosphate site and cytosine moiety in the stable geometry of the $[Cu{\cdot}dCMP{\cdot}dCMP-H]^{1-}$ complex. Three geometries for the complex were considered in an attempt to optimize the structure of the $[Cu{\cdot}dCMP{\cdot}dCMP-H]^{1-}$ complex. The ab initio calculations were performed at the $B3LYP/6-311G^{**}$ level.

Ti/Cu CMP process for wafer level 3D integration (웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구)

  • Kim, Eunsol;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.3
    • /
    • pp.37-41
    • /
    • 2012
  • The wafer level stacking with Cu-to-Cu bonding becomes an important technology for high density DRAM stacking, high performance logic stacking, or heterogeneous chip stacking. Cu CMP becomes one of key processes to be developed for optimized Cu bonding process. For the ultra low-k dielectrics used in the advanced logic applications, Ti barrier has been preferred due to its good compatibility with porous ultra low-K dielectrics. But since Ti is electrochemically reactive to Cu CMP slurries, it leads to a new challenge to Cu CMP. In this study Ti barrier/Cu interconnection structure has been investigated for the wafer level 3D integration. Cu CMP wafers have been fabricated by a damascene process and two types of slurry were compared. The slurry selectivity to $SiO_2$ and Ti and removal rate were measured. The effect of metal line width and metal density were evaluated.

Development of Cu CMP process for Cu-to-Cu wafer stacking (Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석)

  • Song, Inhyeop;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.81-85
    • /
    • 2013
  • Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Copper CMP시 연마균일성에 관한 기계적 해석)

  • Jeong, Hae-Do;Lee, Hyun-Seop;Kim, Hyoung-Jae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.49-50
    • /
    • 2006
  • The studies on Cu CMP have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate the roles of slurry components in the formation of the uniformity in Cu CMP. All the results of in this study showed that the uniformity in Cu CMP could be controlled by the contents of slurry components.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Cu CMP에서의 연마 균일성에 관한 기계적 해석)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.74-79
    • /
    • 2007
  • Most studies on copper Chemical Mechanical Planarization (CMP) have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to various factors related to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate and mechanically analyze the roles of slurry components in the formation of the uniformity in Cu CMP. In this paper, Cu CMP was performed using citric acid($C_{6}H_{8}O_{7}$), hydrogen peroxide($H_{2}O_{2}$), colloidal silica, and benzotriazole($BTA,\;C_{6}H_{4}N_{3}H$) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. All the results of this study showed that within-wafer non-uniformity(WIWNU) of Cu CMP could be controlled by the contents of slurry components.

Cu CMP Characteristics and Electrochemical plating Effect (Cu 배선 형성을 위한 CMP 특성과 ECP 영향)

  • Kim, Ho-Youn;Hong, Ji-Ho;Moon, Sang-Tae;Han, Jae-Won;Kim, Kee-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.252-255
    • /
    • 2004
  • 반도체는 high integrated, high speed, low power를 위하여 design 뿐만 아니라 재료 측면에서도 많은 변화를 가져오고 있으며, RC delay time을 줄이기 위하여 Al 배선보다 비저항이 낮은 Cu와 low-k material 적용이 그 대표적인 예이다. 그러나, Cu 배선의 경우 dry etching이 어려우므로, 기존의 공정으로는 그 한계를 가지므로 damascene 또는 dual damascene 공정이 소개, 적용되고 있다. Damascene 공정은 절연막에 photo와 RIE 공정을 이용하여 trench를 형성시킨 후 electrochemical plating 공정을 이용하여 trench에 Cu를 filling 시킨다. 이후 CMP 공정을 이용하여 절연막 위의 Cu와 barrier material을 제거함으로서 Cu 배선을 형성하게 된다. Dual damascene 공정은 trench와 via를 동시에 형성시키는 기술로 현재 대부분의 Cu 배선 공정에 적용되고 있다. Cu CMP는 기존의 metal CMP와 마찬가지로 oxidizer를 이용한 Cu film의 화학반응과 연마 입자의 기계가공이 기본 메커니즘이다. Cu CMP에서 backside pressure 영향이 uniformity에 미치는 영향을 살펴보았으며, electrochemical plating 공정에서 발생하는 hump가 CMP 결과에 미치는 영향과 dishing 결과를 통하여 그 영향을 평가하였다.

  • PDF

Effects of Citric Acid as a Complexing Agent on Material Removal in Cu CMP (Cu CMP에서 Citric Acid가 재료 제거에 미치는 영향)

  • Jung Won-Duck;Park Boum-Young;Lee Hyun-Seop;Jeong Hea-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.19 no.10
    • /
    • pp.889-893
    • /
    • 2006
  • Chemical mechanical polishing (CMP) achieves surface planrity through combined mechanical and chemical means. The role of slurry is very important in metal CMP. Slurry used in metal CMP normally consists of oxidizers, complexing agents, corrosion inhibitors and abrasives. This paper investigates the effects of citric acid as a complexing agent for Cu CMP with $H_2O_2$. In order to study chemical effects of citric acid, X-ray photoelectron spectroscopy (XPS) was peformed on Cu sample after etching test. XPS results reveal that CuO, $Cu(OH)_2$ layer decrease but $CU/CU_2O$ layer increase on Cu sample surface. To investigate nanomechanical properties of Cu sample surface, nanoindentation was performed on Cu sample. Results of nanoindentation indicate wear resistance of Cu surface decrease. According to decrease of wear resistance on Cu surface removal rate increases from $285\;{\AA}/min\;to\;8645\;{\AA}/min$ in Cu CMP.

Effect of Citric Acid in Cu Chemical Mechanical Planarization Slurry on Frictional Characteristics and Step Height Reduction of Cu Pattern

  • Lee, Hyunseop
    • Tribology and Lubricants
    • /
    • v.34 no.6
    • /
    • pp.226-234
    • /
    • 2018
  • Copper chemical mechanical planarization (CMP) has become a key process in integrated circuit (IC) technology. The results of copper CMP depend not only on the mechanical abrasion, but also on the slurry chemistry. The slurry used for Cu CMP is known to have greater chemical reactivity than mechanical material removal. The Cu CMP slurry is composed of abrasive particles, an oxidizing agent, a complexing agent, and a corrosion inhibitor. Citric acid can be used as the complexing agent in Cu CMP slurries, and is widely used for post-CMP cleaning. Although many studies have investigated the effect of citric acid on Cu CMP, no studies have yet been conducted on the interfacial friction characteristics and step height reduction in CMP patterns. In this study, the effect of citric acid on the friction characteristics and step height reduction in a copper wafer with varying pattern densities during CMP are investigated. The prepared slurry consists of citric acid ($C_6H_8O_7$), hydrogen peroxide ($H_2O_2$), and colloidal silica. The friction force is found to depend on the concentration of citric acid in the copper CMP slurry. The step heights of the patterns decrease rapidly with decreasing citric acid concentration in the copper CMP slurry. The step height of the copper pattern decreases more slowly in high-density regions than in low-density regions.

The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry (W-slurry의 산화제 첨가량에 따른 Cu-CMP특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF