DOI QR코드

DOI QR Code

Development of Cu CMP process for Cu-to-Cu wafer stacking

Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석

  • Song, Inhyeop (Microsystems Packaging Center Seoul Technopark) ;
  • Lee, Minjae (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Kim, Sungdong (Department of Mechanical System Design Engineering, Seoul National University of Science and Technology) ;
  • Kim, Sarah Eunkyung (Graduate School of NID Fusion Technology, Seoul National Univ. of Science and Technology)
  • 송인협 (서울테크노파크 MSP센터) ;
  • 이민재 (서울과학기술대학교 기계시스템디자인공학과) ;
  • 김성동 (서울과학기술대학교 기계시스템디자인공학과) ;
  • 김사라은경 (서울과학기술대학교 NID융합기술대학원)
  • Received : 2013.09.13
  • Accepted : 2013.12.03
  • Published : 2013.12.30

Abstract

Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

웨이퍼 적층 기술은 반도체 전 후 공정을 이용한 효과적인 방법으로 향후 3D 적층 시스템의 주도적인 발전방향이라고 할 수 있다. 웨이퍼 레벨 3D 적층 시스템을 제조하기 위해서는 TSV (Through Si Via), 웨이퍼 본딩, 그리고 웨이퍼 thinning의 단위공정 개발 및 웨이퍼 warpage, 열적 기계적 신뢰성, 전력전달, 등 시스템적인 요소에 대한 연구개발이 동시에 진행되어야 한다. 본 연구에서는 웨이퍼 본딩에 가장 중요한 역할을 하는 Cu CMP (chemical mechanical polishing) 공정에 대한 특성 분석을 진행하였다. 8인치 Si 웨이퍼에 다마신 공정으로 Cu 범프 웨이퍼를 제작하였고, Cu CMP 공정과 oxide CMP 공정을 이용하여 본딩 층 평탄화에 미치는 영향을 살펴보았다. CMP 공정 후 Cu dishing은 약 $180{\AA}$이었고, 웨이퍼 표면부터 Cu 범프 표면까지의 최종 높이는 약 $2000{\AA}$이었다.

Keywords

References

  1. R. S. List, C. Webb, and S. E. Kim, "3D wafer stacking technology", AMC, 29 (2002).
  2. M. K. Choi, E. Kim, "Ultra-thinned Si wafer processing for wafer level 3D packaging", J. KWJS, 26(1), 12 (2008). https://doi.org/10.5781/KWJS.2008.26.1.012
  3. P. Morrow, M. Kobrinsky, M. Harmes, C. Park, S. Ramanathan, V. Ramachandrarao, "Wafer-level 3D interconnects via Cu bonding", AMC, 125 (2004).
  4. Y. Kim, S. Kang, S. D. Kim, S. E. Kim, "Wafer warpage analysis of stacked wafers for 3D integration", Microelectron. Eng., 89, 46 (2012). https://doi.org/10.1016/j.mee.2011.01.079
  5. B. Vandevelde, C. Okoro, M. Gonzalez, B. Swinnen, E. Beyne, "Thermo-mechanics of 3D-wafer level and 3D stacked IC packaging technologies", IEEE EuroSimE, 1 (2008).
  6. K. Oh, J. Ma, S. Kim, S. E. Kim, "Interconnect Process Technology for High Power Delivery and Distribution", J. Microelectron. Packag. Soc., 19(3), 9 (2012). https://doi.org/10.6117/kmeps.2012.19.3.009
  7. Y-S Tang, Y-J Chang, and K-N Chen, "Wafer-level Cu-Cu bonding technology", Microelectron. Reliab., 52, 312 (2012). https://doi.org/10.1016/j.microrel.2011.04.016
  8. P. R. Morrow, C. M. Park, S. Ramanathan, M. J. Kobrinsky, M. Harmes, "Three-dimensional wafer stacking via Cu-Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology", IEEE EDL, 27(5), 335 (2006). https://doi.org/10.1109/LED.2006.873424
  9. A. Jourdain, S. Stoukatch, P. De Moor, W. Ruythooren, "Simultaneous Cu-Cu and compliant dielectric bonding for 3D stacking of ICs", IEEE IITC, 207 (2007).
  10. J. Q. Lu, J. J. McMahon, R. J. Gutmann, "3D Integration using adhesive, metal, and metal/adhesive as wafer bonding interfaces", MRS Proceedings, 1112(1) (2008).
  11. P. Y. H. Cho, S. E. Kim, S. Kim, "Wafer Level Bonding Technology for 3D Stacked IC", J. Microelectron. Packag. Soc., 20(1), 1(2013). https://doi.org/10.6117/kmeps.2013.20.1.007
  12. S. Kang, J. Lee, E. Kim, N. Lim, S. Kim, S. Kim, S. E. Kim, "Fabrication and Challenges of Cu-to-Cu Wafer Bonding", J. Microelectron. Packag. Soc., 19(2), 29 (2012). https://doi.org/10.6117/kmeps.2012.19.2.029
  13. E. Kim, M. Lee, S. Kim, S. E. Kim, "Ti/Cu CMP process for wafer level 3D integration", J. Microelectron. Packag. Soc., 19(3), 37 (2012). https://doi.org/10.6117/kmeps.2012.19.3.037
  14. E. Kim, M. Lee, S. E. Kim, S. Kim, "Cu CMP evaluation for 3D wafer stacking", IUMRS-ICA , MoP003 (2012).
  15. Y. Ein-Eli and D. Starosvetsky, "Review on copper chemicalmechanical polishing (CMP) and post-CMP cleaning in ultra large system integrated (ULSI)-An electrochemical perspective", Electrochimica Acta, 52, 1825 (2007). https://doi.org/10.1016/j.electacta.2006.07.039
  16. K. Gurnett, T. Adams, "Ultra-thin semiconductor wafer applications and process", Adv. Semicon. Mag., 19(4), 36-40 (2006).
  17. Y-J Kang, D-H Eom, J-H Song, J-G Park, "The effect of pH adjustor in Cu Slurry on Cu CMP", PacRim-CMP, 197 (2004)
  18. F. Spaepen, "Interfaces and stresses in thin films", Acta mater. 48, 31-42 (2000) https://doi.org/10.1016/S1359-6454(99)00286-4

Cited by

  1. Study of micro flip-chip process using ABL bumps vol.21, pp.2, 2014, https://doi.org/10.6117/kmeps.2014.21.2.037
  2. Characterization of flip chip bonded structure with Cu ABL power bumps vol.54, pp.8, 2013, https://doi.org/10.1016/j.microrel.2014.03.022