• 제목/요약/키워드: Copper CMP

검색결과 80건 처리시간 0.027초

Diamond Conditioner Wear Characterization for a Copper CMP Process

  • Boruckia, L.;Zhuang, Y.;Kikuma, R.;Rikita, N.;Yamashita, T.;Nagasawa, K.;Lee, H.;Sun, T.;Rosales-Yeomans, D.;Philipossian, A.;Stout, T
    • Transactions on Electrical and Electronic Materials
    • /
    • 제8권1호
    • /
    • pp.15-20
    • /
    • 2007
  • Conditioner wear, copper polish rates, pad temperature and coefficient of friction (COF) are measured for two novel Mitsubishi Materials Corporation designs during an extended wear and polishing test. Both designs are coated with a $Teflon^{TM}$ film to reduce substrate wear and chemical attack. Using optical interferometry, changes in the coating that result in gradual changes in diamond exposure are measured. Theories of the COF, conditioning, and polishing are applied to explain the observed performance differences between the designs.

전기화학 기계적 연마를 이용한 Cu 배선의 평탄화 (Planarizaiton of Cu Interconnect using ECMP Process)

  • 정석훈;서헌덕;박범영;박재홍;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제20권3호
    • /
    • pp.213-217
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing(CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical polishing(ECMP) or electro-chemical mechanical planarization was introduced to solve the technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

CMP 공정에서 연마패드 경도에 따른 연마 특성 변화 분자동력학 연구 (Molecular Dynamics Study on Property Change of CMP Process by Pad Hardness)

  • 권오근;최태호;이준하
    • 반도체디스플레이기술학회지
    • /
    • 제12권1호
    • /
    • pp.61-65
    • /
    • 2013
  • We investigated the wearable dynamics of diamond spherical abrasive during the substrate surface polishing under the pad compression via classical molecular dynamics modeling. We performed three-dimensional molecular dynamics simulations using the Morse potential functions for the copper substrate and the Tersoff potential function for the diamond abrasive. The pad hardness had a big impact on the wearable dynamics of the abrasive. The moving speed of the abrasive decreased with increasing hardness of the pad. As the hardness decreased, the abrasive was indented into the pad and then the sliding motion of the abrasive was increased. So the pad hardness was greatly influenced on the slide-to-roll ratio as well as the wearable rate.

$NaNO_3$ 전해액의 전기화학적 메커니즘 연구 (A Study on the electrochemical mechanism of $NaNO_3$ electrolyte)

  • 이영균;한상준;박성우;이우선;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.116-116
    • /
    • 2008
  • Cu CMP 공정시 높은 압력으로 인하여 low-k 유전체막에 손실을 주며, 디싱과 에로젼 같은 문제점을 해결하기 위하여 기존의 CMP에 전기화학을 결합시킴으로서 낮은 하력에서의 Cu 평탄화를 달성 할 수 있는 ECMP(Electrochemical Mechanical Polishing)기술이 필요하게 되었다. 본 논문에서는 $NaNO_3$ 전해액이 Cu 표면에 미치는 영향을 SEM (Scanning electron microscopy), EDS (Energy Dispersive Spectroscopy), XRD(X-ray Diffraction)를 통하여 전기화학적 특성을 비교 분석하였다.

  • PDF

Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화 (Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process)

  • 유해영;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

Cu ECMP 공정에서의 전해질 특성평가 (Characterization of Electrolyte in Electrochemical Mechanical Planarization)

  • 권태영;김인권;박진구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 하계학술대회 논문집 Vol.7
    • /
    • pp.57-58
    • /
    • 2006
  • Chemical-mechanical planarization (CMP) of Cu has used currently in semiconductor process for multilevel metallization system. This process requires the application of a considerable down-pressure to the sample in the polishing, because porous low-k films used in the Cu-multilevel interconnects of 65nm technology node are often damaged by mechanical process. Also, it make possible to reduce scratches and contaminations of wafer. Electrochemical mechanical planarization (ECMP) is an emerging extension of CMP. In this study, the electrochemical mechanical polisher was manufactured. And the static and dynamic potentiodynamic curve of Cu were measured in KOH based electrolyte and then the suitable potential was found.

  • PDF

계면활성제 함량 조절을 통한 구리 하이브리드 구조물의 화학 기계적 평탄화 (Chemical Mechanical Planarization of Cu Hybrid Structure by Controlling Surfactant)

  • 장수천;안준호;박재홍;정해도
    • 한국재료학회지
    • /
    • 제22권11호
    • /
    • pp.587-590
    • /
    • 2012
  • Recently, the demand for the miniaturization of package substrates has been increasing. Technical innovation has occurred to move package substrate manufacturing steps into CMP applications. Electroplated copper filled trenches on the substrate need to be planarized for multi-level wires of less than $10{\mu}m$. This paper introduces a chemical mechanical planarization (CMP) process as a new package substrate manufacturing step. The purpose of this study is to investigate the effect of surfactant on the dishing and erosion of Cu patterns with the lines and spaces of around $10/10{\mu}m$ used for advanced package substrates. The use of a conventional Cu slurry without surfactant led to problems, including severe erosion of $0.58{\mu}m$ in Cu patterns smaller than $4/6{\mu}m$ and deep dishing of $4.2{\mu}m$ in Cu patterns larger than $14/16{\mu}m$. However, experimental results showed that the friction force during Cu CMP changed to lower value, and that dishing and erosion became smaller simultaneously as the surfactant concentration became higher. Finally, it was possible to realize more globally planarized Cu patterns with erosion ranges of $0.22{\mu}m$ to $0.35{\mu}m$ and dishing ranges of $0.37{\mu}m$ to $0.69{\mu}m$ by using 3 wt% concentration of surfactant.

구리 ECMP에서 전류밀도가 재료제거에 미치는 영향 (Effect of Current Density on Material Removal in Cu ECMP)

  • 박은정;이현섭;정호빈;정해도
    • Tribology and Lubricants
    • /
    • 제31권3호
    • /
    • pp.79-85
    • /
    • 2015
  • RC delay is a critical issue for achieving high performance of ULSI devices. In order to minimize the RC delay time, we uses the CMP process to introduce high-conductivity Cu and low-k materials on the damascene. The low-k materials are generally soft and fragile, resulting in structure collapse during the conventional high-pressure CMP process. One troubleshooting method is electrochemical mechanical polishing (ECMP) which has the advantages of high removal rate, and low polishing pressure, resulting in a well-polished surface because of high removal rate, low polishing pressure, and well-polished surface, due to the electrochemical acceleration of the copper dissolution. This study analyzes an electrochemical state (active, passive, transpassive state) on a potentiodynamic curve using a three-electrode cell consisting of a working electrode (WE), counter electrode (CE), and reference electrode (RE) in a potentiostat to verify an electrochemical removal mechanism. This study also tries to find optimum conditions for ECMP through experimentation. Furthermore, during the low-pressure ECMP process, we investigate the effect of current density on surface roughness and removal rate through anodic oxidation, dissolution, and reaction with a chelating agent. In addition, according to the Faraday’s law, as the current density increases, the amount of oxidized and dissolved copper increases. Finally, we confirm that the surface roughness improves with polishing time, and the current decreases in this process.

구리 CMP시 비이온 계면활성제의 알루리마 슬러리 안정성에 대한 효과 (Characteristics by Surfactant Condition at Copper CMP)

  • 이도원;김남훈;김상용;서용진;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.2
    • /
    • pp.1288-1291
    • /
    • 2004
  • In this study, physical characteristics of alumina slurry on variation of pH value and the effect of non-ionic surfactants on alumina slurry for copper chemical mechanical planarization (CMP) slurry have been investigated. After pH value of the slurry with alumina abrasive was changed by adding various amount of $HNO^3$ or KOH, the differences of settling rate, particle size, and zeta-potential were estimated. Better settling rates were shown in slurries with alumina abrasive at near pH 1. Higher zeta-potential was shown at around pH 2 in alumina slurry and the point of zero charge (PZC) was measured at about pH $9\sim10$. Non-ionic surfactant was added in the slurry with 5wt% alumina abrasive to get its effect on slurry practically. Abrasive size was smaller increased when amount of surfactant increased in slurry with P-4 as abrasive; on the other side, it was smaller when amount of surfactant decreased with AES-12. Variation of zeta-potential has no tendency with adding surfactant; however, values of zeta-potential were between $35\sim50mV$. The proper amount of surfactant was $0.1\sim1.0wt%$ in slurry with P-4 and $0.5\sim1.0wt%$ in slurry with AES-12 respectively. Excellent dispersion stabilization was obtained by addition of non-ionic surfactant

  • PDF

Copper MOCVD using catalytic surfactant : Novel concept

  • Hwang, Eui-Seong;Lee, Jihwa
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.30-30
    • /
    • 1999
  • 알루미늄에 비해 전기저항이 낮고 electromigration 및 stress-migration에 대한 저항서이 높은 구리는 차세대 반도체 소자의 배선금속 재료로 여겨지고 있다. 최근 Chemical Mechanical Polishing (CMP) 기술의 도래로 구리배선 공정의 채택이 더욱 앞당겨질 전망이다. 한편, 구리 MOCVD를 위해 다양한 전구체화합물이 합성되었고, 근래에는 Cu(I)(hfc)L (L은 Lewis base 형태의 ligand) 형태의 전구체를 이용한 많은 증착 연구를 통하여 순수하고 전기저항이 낮은 구리 박막의 증착이 보고되었다. 구리 MOCVD의 가장 큰 문제점은 증착속도가 150-$^{\circ}C$20$0^{\circ}C$에서 500$\AA$/min 이하로 낮고 또한 증착된 필름 표면이 매우 거칠다는 데 있다. 이러한 단점으로 인해 전기화학적 증착후 CMP를 적용하는 것이 더욱 경제적이라는 견해가 우세해 지고 있다. 본 강연에서는 박막의 증착 속도와 표면 거칠기를 동시에 향사시키기 위해 catalytic surfactant를 이용한 새로운 MOCVD 개념을 도입하고, 구리 MOCVD에서 단원자층으로 흡착된 요오드 원자가 그 역할을 수행할 수 있음을 보이겠다. 또 요오드원자가 표면반응을 어떻게 수정하여 활성화에너지를 낮추는가를 반응메카니즘으로 밝히고 표면 평탄화의 미시적 해석을 제공하고자 한다. Catalytic Surfactant의 개념은 다른 박막 재료의 MOCVD에도 적용될 수 있으며, 나아가 적절한 기판 표면처리를 통하여 epitaxy도 가능할 것으로 본다.

  • PDF