• Title/Summary/Keyword: Copper CMP

Search Result 80, Processing Time 0.027 seconds

Effect on protective coating of vacuum brazed CMP pad conditioner using in Cu-slurry (Cu 용 슬러리 환경에서의 보호성 코팅이 융착 CMP 패드 컨니셔너에 미치는 영향)

  • Song M.S.;Gee W.H.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.434-437
    • /
    • 2005
  • Chemical Mechanical Polishing (CMP) has become an essential step in the overall semiconductor wafer fabrication technology. In general, CMP is a surface planarization method in which a silicon wafer is rotated against a polishing pad in the presence of slurry under pressure. The polishing pad, generally a polyurethane-based material, consists of polymeric foam cell walls, which aid in removal of the reaction products at the wafer interface. It has been found that the material removal rate of any polishing pad decreases due to the so-called 'pad glazing' after several wafer lots have been processed. Therefore, the pad restoration and conditioning has become essential in CMP processes to keep the urethane polishing pad at the proper friction coefficient and to allow effective slurry transport to the wafer surface. Diamond pad conditioner employs a single layer of brazed bonded diamond crystals. Due to the corrosive nature of the polishing slurry required in low pH metal CMP such as copper, it is essential to minimize the possibility of chemical interaction between very low pH slurry (pH <2) and the bond alloy. In this paper, we report an exceptional protective coated conditioner for in-situ pad conditioning in low pH Cu CMP process. The protective Cr-coated conditioner has been tested in slurry with pH levels as low as 1.5 without bond degradation.

  • PDF

The Study of ILD CMP Using Abrasive Embedded Pad (고정입자 패드를 이용한 층간 절연막 CMP에 관한 연구)

  • 박재홍;김호윤;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1117-1120
    • /
    • 2001
  • Chemical mechanical planarization(CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There have been serious problems in CMP in terms of repeatability and defects in patterned wafers. Since IBM's official announcement on Copper Dual Damascene(Cu2D) technology, the semiconductor world has been engaged in a Cu2D race. Today, even after~3years of extensive R&D work, the End-of-Line(EOL) yields are still too low to allow the transition of technology to manufacturing. One of the reasons behind this is the myriad of defects associated with Cu technology. Especially, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasive and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using Ce$O_2$ is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method for developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

Statistical Analysis on Process Variables in Linear Roll-CMP (선형 Roll-CMP에서 공정변수에 관한 통계적 분석)

  • Wang, Han;Lee, Hyunseop;Jeong, Haedo
    • Tribology and Lubricants
    • /
    • v.30 no.3
    • /
    • pp.139-145
    • /
    • 2014
  • Nowadays, most micro-patterns are manufactured during flow line production. However, a conventional rotary chemical mechanical polishing (CMP) system has a limited throughput for the fabrication of large and flexible electronics. To overcome this problem, we propose a novel linear roll-CMP system for the planarization of large-area electronics. In this paper, we present a statistical analysis on the linear roll-CMP process of copper-clad laminate (CCL) to determine the impacts of process parameters on the material removal rate (MRR) and its non-uniformity (NU). In the linear roll-CMP process, process parameters such as the slurry flow rate, roll speed, table feed rate, and down force affect the MRR and NU. To determine the polishing characteristics of roll-CMP, we use Taguchi's orthogonal array L16 (44) for the experimental design and F-values obtained by the analysis of variance (ANOVA). We investigate the signal-to-noise (S/N) ratio to identify the prominent control parameters. The "higher is better" for the MRR and "lower is better" for the NU were selected for obtaining optimum CMP performance characteristics. The experimental and statistical results indicate that the down force and roll speed mainly affect the MRR and the down force and table feed rate determine the NU in the linear roll-CMP process. However, over 186.3 N of down force deteriorates the NU because of the bending of substrate. Roll speed has little relationship to the NU and the table feed rate does not impact on the MRR. This study provides information on the design parameter of roll-CMP machine and process optimization.

Particle Removal on Buffing Process After Copper CMP (구리 CMP 후 버핑 공정을 이용한 연마 입자 제거)

  • Shin, Woon-Ki;Park, Sun-Joon;Lee, Hyun-Seop;Jeong, Moon-Ki;Lee, Young-Kyun;Lee, Ho-Jun;Kim, Young-Min;Cho, Han-Chul;Joo, Suk-Bae;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.1
    • /
    • pp.17-21
    • /
    • 2011
  • Copper (Cu) had been attractive material due to its superior properties comparing to other metals such as aluminum or tungsten and considered as the best metal which can replace them as an interconnect metal in integrated circuits. CMP (Chemical Mechanical Polishing) technology enabled the production of excellent local and global planarization of microelectronic materials, which allow high resolution of photolithography process. Cu CMP is a complex removal process performed by chemical reaction and mechanical abrasion, which can make defects of its own such as a scratch, particle and dishing. The abrasive particles remain on the Cu surface, and become contaminations to make device yield and performance deteriorate. To remove the particle, buffing cleaning method used in post-CMP cleaning and buffing is the one of the most effective physical cleaning process. AE(Acoustic Emission) sensor was used to detect dynamic friction during the buffing process. When polishing is started, the sensor starts to be loaded and produces an electrical charge that is directly proportional to the applied force. Cleaning efficiency of Cu surface were measured by FE-SEM and AFM during the buffing process. The experimental result showed that particles removed with buffing process, it is possible to detect the particle removal efficiency through obtained signal by the AE sensor.

Effect of Post-CMP Cleaning On Electrochemical Characteristics of Cu and Ti in Patterned Wafer

  • Noh, Kyung-Min;Kim, Eun-Kyung;Lee, Yong-Keun;Sung, Yun-Mo
    • Korean Journal of Materials Research
    • /
    • v.19 no.3
    • /
    • pp.174-178
    • /
    • 2009
  • The effects of post-CMP cleaning on the chemical and galvanic corrosion of copper (Cu) and titanium (Ti) were studied in patterned silicon (Si) wafers. First, variation of the corrosion rate was investigated as a function of the concentration of citric acid that was included in both the CMP slurry and the post-CMP solution. The open circuit potential (OCP) of Cu decreased as the citric acid concentration increased. In contrast with Cu, the OCP of titanium (Ti) increased as this concentration increased. The gap in the OCP between Cu and Ti increased as citric acid concentration increased, which increased the galvanic corrosion rate between Cu and Ti. The corrosion rates of Cu showed a linear relationship with the concentrations of citric acid. Second, the effect of Triton X-$100^{(R)}$, a nonionic surfactant, in a post-CMP solution on the electrochemical characteristics of the specimens was also investigated. The OCP of Cu decreased as the surfactant concentration increased. In contrast with Cu, the OCP of Ti increased greatly as this concentration increased. Given that Triton X-$100^{(R)}$ changes its micelle structure according to its concentration in the solution, the corrosion rate of each concentration was tested.

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • v.6 no.5
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

Cu CMP Property by Addition of Corrosion Inhibitor and Complexing Agent (부식 방지제와 Complexing Agent 첨가에 따른 Cu CMP 특성)

  • Kim, In-Pyo;Kim, Nam-Hoon;Kim, Sang-Yong;Lee, Cheol-In;Eom, Joon-Cheol;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.343-346
    • /
    • 2003
  • A systematic study of Cu CMP in terms of the effect of slurry chemicals(oxidizer, corrosion inhibitor, complexing agent) on the process characteristics has been performed. In acidic media, a corrosion inhibitor, benzotriazole(BTA) and tolytriazol(TTA) was used to control the removal rate and avoid isotropic etching. When complexing agent is added with $H_2O_2$ 2wt% in the slurry, a corrosion rate was presented very good. Most of in, it was appeared that BTA is possible to be replaced by TTA. The tartaric acid was distinguished for the effect among complexing agents. n we apply this results to copper CMP process, it is thought that we will be able to obtain better yield.

  • PDF