• 제목/요약/키워드: Chemical Mechanical Polishing (CMP)

검색결과 428건 처리시간 0.029초

연마제 첨가량에 따른 Mixed Abrasive Slurry (MAS)의 CMP 특성 고찰 (Improvement of Mixed Abrasive Slurry (MAS) Characteristics According to the Abrasive Adding)

  • 이성일;이영균;박성우;이우선;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.380-381
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • 제7권4호
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

A Study on the Corrosion Effects by Addition of Complexing Agent in the Copper CMP Process

  • Kim, Sang-Yong;Kim, Nam-Hoon;Kim, In-Pyo;Chang, Eui-Goo;Seo, Yong-Jin;Chung, Hun-Sang
    • Transactions on Electrical and Electronic Materials
    • /
    • 제4권6호
    • /
    • pp.28-31
    • /
    • 2003
  • Copper CMP in terms of the effect of slurry chemicals (oxidizer, corrosion inhibitor, complexing agent) on the process characteristics has been performed. Corrosion inhibitors, benzotriazole (BTA) and tolytriazol (TTA) were used to control the removal rate and avoid isotropic etching. When complexing agent is added with H$_2$O$_2$ 2 wt% in the slurry, the corrosion rate was presented very well. In the case of complexing agent, it was estimated that the proper concentration is 1 wt%, because the addition of tartaric acid to alumina slurry causes low pH and the slurry dispersion stability become unstable. There was not much change of the removal rate. It was assumed that BTA 0.05 wt% is suitable. Most of all, it was appeared that BTA is possible to be replaced by TTA. TTA was distinguished for the effect among complexing agents.

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • 제6권5호
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화 (Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process)

  • 유해영;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

다구찌 기법을 활용한 반도체 연마 공정의 최적 설계수준 결정 (Determination of Optimal Design Level for the Semiconductor Polishing Process by Taguchi Method)

  • 심현수;김용수
    • 품질경영학회지
    • /
    • 제45권2호
    • /
    • pp.293-306
    • /
    • 2017
  • Purpose: In this study, an optimal design level of influencing factors on semiconductor polishing process was determined to minimize flexion of both sides on wafers. Methods: First, significant interactions are determined by the stepwise regression method. ANOVA analysis on SN ratio and mean of dependent variable are performed to draw mean adjustment factors. In addition, the optimal levels of mean adjustment factors are decided by comparing means of each level of mean adjustment factors. Results: As a result of ANOVA, a mean adjustment factor was determined as a width of formed flexion on the plate. The mean of the difference has the nearest to 0 in the case when the width of formed flexion has level 2 (4mm). Conclusion: Optimal design levels of semiconductor polishing process are determined as follows; (i) load applied to the wafer carrier has a level 1 (3psi), (ii) load applied to the wafer has a level 1(3psi), (iii) the amount of slurry supplied during polishing has a level 3 (300 co/min), (iv) the width of formed flexion on the plate has level 2 (4mm).

Effects of Polymer Adsorption on Stabilities and CMP Performance of Ceria Abrasive Particles

  • Shimono Norifumi;Kawaguchi Masami;Koyama Naoyuki
    • Transactions on Electrical and Electronic Materials
    • /
    • 제7권3호
    • /
    • pp.112-117
    • /
    • 2006
  • In this paper we present that the effects of polymer adsorption on stabilities and CMP performance of ceria abrasive particles. Characterization of ceria abrasive particles in the presence of poly(vinyl pyrrolidone) (PVP) was performed by the measurements of adsorbed amounts of PVP, average sizes, and the back scattering intensities of the ceria abrasive particles as functions of PVP molecular weight and PVP concentration. The ceria abrasive particles in the presence of PVP were used to polish $SiO_2\;and\;Si_3N_4$ films deposited on Si wafers in order to understand the effect of PVP adsorption on chemical mechanical polishing (CMP) performance, together with ceria abrasive particles without PVP. Adsorption of PVP on the ceria abrasive particles enhanced the stability of ceria abrasive particles due to steric stabilization of the thick adsorbed layer of PVP. Removal rates of the deposited $SiO_2\;and\;Si_3N_4$ films by the ceria abrasive particles in the presence of PVP were much lower than those in the absence of PVP and their magnitudes were decreased with an increase in the concentration of free PVP chains in the dispersion media. This suggests that the CMP performance in the presence of PVP could be mainly controlled by the hydrodynamic interactions between the adsorbed PVP chains and the free ones. Moreover, the molecular weight dependence of PVP on the removal rates of the deposited films was hardly observed. On the other hand, high removal rate selectivity between the deposited films in the presence of PVP was not observed.

Copper MOCVD using catalytic surfactant : Novel concept

  • Hwang, Eui-Seong;Lee, Jihwa
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.30-30
    • /
    • 1999
  • 알루미늄에 비해 전기저항이 낮고 electromigration 및 stress-migration에 대한 저항서이 높은 구리는 차세대 반도체 소자의 배선금속 재료로 여겨지고 있다. 최근 Chemical Mechanical Polishing (CMP) 기술의 도래로 구리배선 공정의 채택이 더욱 앞당겨질 전망이다. 한편, 구리 MOCVD를 위해 다양한 전구체화합물이 합성되었고, 근래에는 Cu(I)(hfc)L (L은 Lewis base 형태의 ligand) 형태의 전구체를 이용한 많은 증착 연구를 통하여 순수하고 전기저항이 낮은 구리 박막의 증착이 보고되었다. 구리 MOCVD의 가장 큰 문제점은 증착속도가 150-$^{\circ}C$20$0^{\circ}C$에서 500$\AA$/min 이하로 낮고 또한 증착된 필름 표면이 매우 거칠다는 데 있다. 이러한 단점으로 인해 전기화학적 증착후 CMP를 적용하는 것이 더욱 경제적이라는 견해가 우세해 지고 있다. 본 강연에서는 박막의 증착 속도와 표면 거칠기를 동시에 향사시키기 위해 catalytic surfactant를 이용한 새로운 MOCVD 개념을 도입하고, 구리 MOCVD에서 단원자층으로 흡착된 요오드 원자가 그 역할을 수행할 수 있음을 보이겠다. 또 요오드원자가 표면반응을 어떻게 수정하여 활성화에너지를 낮추는가를 반응메카니즘으로 밝히고 표면 평탄화의 미시적 해석을 제공하고자 한다. Catalytic Surfactant의 개념은 다른 박막 재료의 MOCVD에도 적용될 수 있으며, 나아가 적절한 기판 표면처리를 통하여 epitaxy도 가능할 것으로 본다.

  • PDF

입자연마가공에서의 압력 속도 및 유체점도의 영향에 대한 고찰 (A Study of the Effects of Pressure Velocity and Fluid Viscosity in Abrasive Machining Process)

  • 양우열;양지철;성인하
    • Tribology and Lubricants
    • /
    • 제27권1호
    • /
    • pp.7-12
    • /
    • 2011
  • Interest in advanced machining process such as AJM(abrasive jet machining) and CMP(chemical-mechanical polishing) using micro/nano-sized abrasives has been on the increasing demand due to wide use of super alloys, composites, semiconductor and ceramics, which are difficult to or cannot be processed by traditional machining methods. In this paper, the effects of pressure, wafer moving velocity and fluid viscosity were investigated by 2-dimensional finite element analysis method considering slurry fluid flow. From the investigation, it could be found that the simulation results quite corresponded well to the Preston's equation that describes pressure/velocity dependency on material removal. The result also revealed that the stress and corresponding material removal induced by the collision of particle may decrease under relatively high wafer moving speed due to the slurry flow resistance. In addition, the increase in slurry fluid viscosity causes the reduction of material removal rate. It should be noted that the viscosity effect can vary with the shape of abrasive particle.

연마제의 분산시간과 첨가량이 Oxide-CMP에 미치는 영향 (A Study on the Improvement of Oxide-CMP Characteristics by Dispersion Time and Content of Abrasive)

  • 박성우;한상준;이성일;이영균;최권우;서용진;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.527-527
    • /
    • 2007
  • CMP가 1980년 IBM에 의해 반도체 웨이퍼의 표면 연마를 위해 적용된 후, 많은 연구 개발의 노력으로 반도체 집적회로의 제조 공정에서 필수 핵심기술이 되었으나, 소모자재(연마패드, 탄성지지대, 슬러리, 패드 컨디셔너)의 비용이 CMP 공정 비용의 70% 이상을 차지하는 등 제조단가가 높다는 단점을 극복할 수가 없었다. 특히, 고가의 슬러리가 차지하는 비중이 40% 이상을 넘고 있어, 슬러리 원액의 소모량을 줄이기 위한 연구들이 현재 활발히 연구 중이다. 슬러리의 변수로는 연마입자의 종류 및 특성, 용액의 pH, 연마입자의 슬러리내 안정성 등이 있다. 슬러리내 연마입자는 연마량과 균일도 측면에서 밀접한 관계를 가지고 있다. 또한, 연마제의 영향에 따라 연마율의 차이 즉, CMP 특성의 변화를 보이고 있기 때문에 투입량 또한 최적화가 필요하다. 본 연구에서는 새로운 연마제의 특성을 알아보기 위해 탈이온수(de-ionized water; DIW)에 $CeO_2,\;MnO_2,\;ZrO_2$ 등을 첨가한 후 분산시간에 따른 연마 특성과 atomic force microscopy (AFM)분석을 통해 표면 거칠기를 비교 분석하였다. 그리고, 세 가지 종류의 연마제를 각각 1wt%, 3wt%, 5wt% 첨가하여 산화막에 대한 CMP 특성을 알아본 후, scanning electron microscopy (SEM) 측정과 입도 분석을 통해 그 가능성을 알아보았다.

  • PDF