• 제목/요약/키워드: 코어 시스템

검색결과 763건 처리시간 0.029초

EV용 대용량 탑재형 배터리 충전기에 적합한 Phase Shift Full Bridge Converter의 최적 구조 (An Optimal Structure of Phase Shift Full Bridge Converter for High-capacity On-board Battery Charger of Electric Vehicle)

  • 김민국;김윤성;조남진;이병국
    • 전력전자학회:학술대회논문집
    • /
    • 전력전자학회 2012년도 전력전자학술대회 논문집
    • /
    • pp.35-36
    • /
    • 2012
  • 본 논문은 EV용 탑재형 배터리 충전기(OBC)와 같은 중 대용량 충전시스템에 적용한 Phase Shift Full Bridge Converter (PSFB) 토폴로지를 사용하는 경우, 트랜스포머의 구조에 따른 특성을 분석한다. 일반적으로 PSFB는 다른 토폴로지에 비해 코어 사용 효율이 높기 때문에 상대적으로 소형 경량화 설계가 용이하다. 그러나 수 kW급의 시스템 응용에서는 기존 코어 형상이나 Ap-limit과 제약이 따른다. 또한 특화된 코어의 경우 높은 가격으로 설계 경쟁력이 낮아진다. 따라서 본 논문에서는 이러한 대용량 PSFB의 응용 시스템에 적합한 코어 설계를 위해 다양한 트랜스포머의 구조를 선정하여 그 특성을 비교분석한다.

  • PDF

멀티 코어 시스템에서 통신 프로세스의 동적 스케줄링 (Dynamic Scheduling of Network Processes for Multi-Core Systems)

  • 장혜천;진현욱;김학영
    • 한국정보과학회논문지:컴퓨팅의 실제 및 레터
    • /
    • 제15권12호
    • /
    • pp.968-972
    • /
    • 2009
  • 멀티 코어 프로세서는 현재 많은 고성능 서버에 적용되어 사용되고 있다. 최근 이들 서버는 점차 높은 네트워크 대역폭 활용을 요구하고 있다. 이러한 요구를 만족시키기 위해서는 멀티 코어를 효율적으로 활용하여 네트워크 처리율을 향상시키는 방안이 필요하다. 그러나 현재 운영체제들은 멀티 코어 시스템을 멀티 프로세서 환경과 거의 동일하게 다루고 있으며 아직 멀티 코어의 고유 특성을 고려한 성능 최적화 시도는 미흡한 상태이다. 이러한 문제를 해결하기 위해서 본 논문에서는 멀티 코어의 특성을 최대한으로 고려하여 프로세스 스케줄링을 결정함으로써 통신 성능을 향상시키는 방안에 대해서 연구한다. 제안되는 프로세스 스케줄링은 멀티 코어 프로세서의 캐쉬 구조, 프로세스의 통신 집중도, 그리고 각 코어의 부하를 기반으로 해당 프로세스에게 최적의 코어를 결정하고 스케줄링한다. 제안된 기법은 리눅스 커널에 구현되었으며 측정 결과는 최신 리눅스 커널의 네트워크 처리율을 20%까지 향상시켰으며 프로세서 자원은 55% 더 절약할 수 있음을 보인다.

멀티코어 항공기소프트웨어 개발을 위한 시스템 플랫폼 (A Development Platform for Multi-Core Avionics Software)

  • 구금서;전용기
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2010년도 한국컴퓨터종합학술대회논문집 Vol.37 No.1(B)
    • /
    • pp.425-430
    • /
    • 2010
  • 항공기 내에서 단일코어 기반의 임무컴퓨터를 포함하는 연합형 항공전자 모델은 항공기의 임무 요구도 증대와 전장 환경의 변화에 따라 기내 데이터 양이 급격히 증가하여 비행 운용 프로그램(OFP)의 실시간성 및 처리성능에 한계를 보인다. 또한 분산된 임무컴퓨터의 공간점유와 무게 및 높은 전력소비가 발생하므로, 본 연구에서는 실시간 운영체제 모듈을 적용한 멀티코어 기반의 통합 모듈형 시스템(IMA) 플랫폼을 제시하여 비용과 체적을 감소시킨 개발 환경을 제공한다.

  • PDF

ARINC 653 멀티코어 기반 그래픽스 렌더링 엔진 분산처리방안 연구 (The Study of Distributed Processing for Graphics Rendering Engine Based on ARINC 653 Multi-Core System)

  • 정무경
    • 항공우주시스템공학회지
    • /
    • 제13권5호
    • /
    • pp.1-8
    • /
    • 2019
  • 최근 항공기 운용 장비는 시스템 수 및 무게, 전력 소비량 플랫폼 중복성을 줄이기 위해 기존 연합형(Federated) 구조에서 멀티코어를 이용한 모듈형(Integrated Modular) 구조로 변화하고 있다. 이러한 변화로 다수의 기능을 하나의 모듈에 통합함에 따라 디스플레이 장치를 통해 조종사에게 제공해야할 정보량이 증가하게 되었으며, 이로 인해 시스템 운용주기 내에 처리해야할 그래픽양이 증가하게 되었다. 본 논문에서는 멀티코어 시스템을 운용하기 위한 AMP(Asymmetric Multi-Processing) 방식의 파티셔닝 운용 시스템(Partition Operating System)에서 항공기 시스템의 운용주기 내에 보다 많은 그래픽을 처리하기 위해 단일코어로 처리되는 기존의 그래픽스 렌더링 엔진 구조를 멀티코어로 분산하여 처리할 수 있는 그래픽스 렌더링 엔진 분산처리방안을 제시한다.

매니코어 CPU 시스템의 병렬 쓰기 성능 향상을 위한 리눅스 커널의 LRU 관리 최적화 기법 (Optimizing LRU Lock Management in the Linux Kernel for Improving Parallel Write Throughout in Many-Core CPU Systems)

  • 변은규;구기범;오광진;방지우
    • 정보처리학회논문지:컴퓨터 및 통신 시스템
    • /
    • 제12권7호
    • /
    • pp.209-216
    • /
    • 2023
  • 최신 HPC 시스템은 수십 개의 코어를 가진 매니코어 CPU를 탑재하고 있다. 이런 시스템에서 병렬 I/O를 수행할 경우 리눅스 시스템의 LRU락 관리 정책의 문제로 인해 확장성에 한계를 가지고 있음을 확인하였다. 본 연구에서는 이 문제를 해결하기 위한 개선된 FinerLRU를 제안한다. LRU락을 최대 코어 개수만큼 증가시키는 것을 골자로 한 세분화된 Lock 관리를 통해 페이지 기반 버퍼 캐시를 사용하는 파일 시스템의 병렬 쓰기 성능을 향상시키는 것을 목적으로 한다. 리눅스 5.18.11에 제안한 방법을 구현하였으며, 서로 다른 특성을 가진 2종류의 CPU인 Intel Icelake Xeon과 Intel Knights landing에서 성능을 측정하였고 두 종류의 시스템 모두에서 두 배 전후의 성능 향상이 발생함을 확인하였다.

락의 실제 : 멀티코어 상의 데이터베이스 성능 분석 (Locking in Practice : Performance of a Database System on a Multicore Machine)

  • 한혁
    • 한국콘텐츠학회논문지
    • /
    • 제14권8호
    • /
    • pp.22-29
    • /
    • 2014
  • 락은 멀티프로세서 환경에서 공유 데이터에 대한 접근을 안전하게 하는 잘 알려진 일반적인 방법이다. 1960년대에 상호 배제가 소개된 후에 많은 스핀락 알고리즘이 제안되었고 운영체제나 데이터베이스 시스템에 사용되어 왔다. 이 연구에서 고성능 멀티코어 시스템 상에서 락 알고리즘이 데이터베이스 시스템에 미치는 영향을 측정하였다. 평가를 위해 그 동안 멀티코어 상에서 성능 개선을 위해 재구조화된 최신 MySQL 5.6 및 MySQL에 탑재된 InnoDB 엔진을 사용하였다. InnoDB의 스핀락 함수를 수정하여 다양한 락 알고리즘들을 구현하였고 구현된 락 알고리즘들을 멀티코어 환경에서 평가하였다.

비대칭적 멀티코어 프로세서의 성능 연구 (Performance Study of Asymmetric Multicore Processor Architectures)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제14권3호
    • /
    • pp.163-169
    • /
    • 2014
  • 현재 범용 컴퓨터 시스템을 구축할 때 성능을 높이기 위하여 멀티코어 프로세서가 널리 이용되고 있으며, 멀티코어 프로세서의 구조는 크게 대칭적 구조와 비대칭적 구조로 나뉜다. 비대칭적 멀티코어 프로세서는 크고 복잡한 고성능의 코어와, 작고 간단한 저성능의 프로세서들로 구성되며, 대칭적 멀티코어 프로세서에 비하여 더욱 성능과 효율이 높은 것으로 알려져 있다. 본 논문에서는 다양한 구성을 갖는 비대칭적 쿼드코어 및 옥타코어 프로세서에 대하여 SPEC 2000 벤치마크를 통하여 모의실험을 수행하여 그 성능을 측정하고, 대칭적 쿼드코어 및 옥타코어 프로세서와 그 성능을 비교하였다.

모바일 초음파 영상신호의 빔포밍 기법을 위한 최적의 매니코어 프로세서 구현 (Implementation of an Optimal Many-core Processor for Beamforming Algorithm of Mobile Ultrasound Image Signals)

  • 최병국;김종면
    • 한국컴퓨터정보학회논문지
    • /
    • 제16권8호
    • /
    • pp.119-128
    • /
    • 2011
  • 본 논문에서는 모바일 초음파(mobile ultrasound) 영상신호의 빔포밍 알고리즘에서 요구되는 고성능 및 저전력을 만족시키는 매니코어 프로세서에 대한 디자인 공간 탐색 방법을 소개한다. 매니코어 프로세서의 디자인 공간 탐색을 위해 매니코어의 각 프로세싱 엘리먼트(Processing Element, PE)당 초음파 영상신호 데이터의 수를 변화시키는 실험을 통해 실행시간, 에너지 효율 및 시스템 면적 효율을 측정하고, 측정된 결과를 바탕으로 최적의 매니코어 프로세서 구조를 선택하였다. 모의실험 결과, PE 개수가 4096일 때 에너지 효율이 가장 높았으며, PE 개수가 1024일 때 가장 높은 시스템 면적 효율을 보였다. 또한, PE 개수가 4096인 매니코어 아키텍처는 초음파 영상장치에 가장 많이 사용되는 TI DSP C6416보다 각각 에너지 효율에서 46배, 시스템 면적 효율에서 10배의 향상을 보였다.

멀티코어 시스템에서 쓰레드 수에 따른 병렬 색변환 성능 검증 (A Performance Evaluation of Parallel Color Conversion based on the Thread Number on Multi-core Systems)

  • 김정길
    • 한국위성정보통신학회논문지
    • /
    • 제9권4호
    • /
    • pp.73-76
    • /
    • 2014
  • 멀티 코어 프로세서의 보급 확산으로 최근에는 임베디드 시스템에서도 채택되고 있다. 따라서 일반적으로 대규모의 컴퓨팅과 메모리 접근을 필요로 하는 멀티미디어 응용은 멀티 코어 플랫폼 기반의 병렬화가 가능하다. 본 논문에서는 멀티 코어 CPU을 이용한 효율적 색 공간 변환을 위한 스레드 수준 병렬 기법의 성능 향상을 검증하였다. 스레드 수준 병렬화 특히 멀티 코어 프로세서기반 공유 메모리 컴퓨팅 시스템에서는 매우 유용한 병렬 처리 패러다임이 되고 있다. 본 구현에서 스레드 수준 병렬화는 각 스레드에 다른 입력 픽셀을 할당하여 실행하였다. 성능 평가를 위해 직렬 및 병렬 구현들 사이의 처리 속도의 비교에 기초하여 대표적 멀티 코어 프로세서에서 색 변환을 위한 성능 향상 정도를 평가하였다. 결과는 스레드 수준의 병렬 구현에 관계없이 다른 멀티 코어에서 전반적으로 비슷한 성능 향상의 비율을 보여주었다.

멀티코어 CPU에서의 비대칭 부하 분산 (Asymmetric Load Balancing on Multi-Core CPUs)

  • 김희곤;이성주;정용화
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2012년도 한국컴퓨터종합학술대회논문집 Vol.39 No.1(A)
    • /
    • pp.4-6
    • /
    • 2012
  • 최근 멀티코어 CPU가 장착된 시스템들이 출시되면서 많은 병렬처리 기법들이 제안되고 있다. 본 논문에서는 데이터 종속성이 없는 모듈과 종속성이 있는 모듈이 순차적으로 구성된 응용에서 각 코어에 부하를 효과적으로 분산시키는 방법을 제안한다. 즉, 데이터 종속성이 없는 모듈을 각 코어에 대칭적으로 분산시키는 통상적인 방법 대신, 비대칭적으로 부하를 분산시킴으로써 암달의 법칙에서 계산된 성능 상한치를 뛰어넘는 성능 개선을 얻을 수 있음을 보인다.