• Title/Summary/Keyword: 저온 증착

Search Result 410, Processing Time 0.033 seconds

Performance of OLED devices with the surface characteristics of TCO thin films (투명전도성 박막의 표면 특성에 따른 OLED 소자의 특성)

  • Lee, Bong-Kun;Lee, Yu-Lim;Lee, Kyu-Mann
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.313-313
    • /
    • 2009
  • OLED 소자는 직접발광, 광시야각, 그리고 빠른 응답속도 때문에 동영상에 적합하여 최근 각광받고 있는 디스플레이장치 중의 하나이다. OLED 소자의 양극재료로는 높은 광투과율과 $\sim10^{-4}{\Omega}\;cm$ 수준의 낮은 전기 비저항을 갖는 ITO (Sn-doped $In_2O_3$)가 널리 사용되고 있다. 하지만 원료 물질인 인듐의 수급량 부족으로 인한 문제점과 독성, 저온증착의 어려움, 스퍼터링시 음이온 충격에 의한 막 손상으로 저항의 증가의 문제점이 있고, 또한 액정디스플레이의 투명전극으로 사용될 경우 $400\;^{\circ}C$정도의 높은 온도와 수소 플라즈마 분위기에서 장시간 노출 시 열화로 인한 광학적 특성변화가 문제가 된다. 반면에 Al이 도핑 된 ZnO (AZO)박막은 넓은 밴드갭 (3.37eV)와 400nm에서 700nm 사이의 가시광 영역에서 80% 이상의 우수한 투과성을 지니고 있다. 특히 Al이 도핑된 ZnO는 박막의 전기적 특성이 크게 향상되어 디스플레이나 태양전지로의 응용이 가능하다. 또한 비교적 낮은 비용과 플라즈마에서의 안정성, 무독성, 그리고 전기전도성과 같은 많은 이점이 있다. 그 결과 AZO 박막은 ITO기판을 대안하는 지원물질로 활발히 연구가 진행되고 있다. 본 연구에서는 TCO 박막의 면 저항과 표면 거칠기에 따른 OLED 소자의 특성을 분석하였다. ITO와 AZO 박막은 챔버 내 다양한 가스 분위기(Ar, Ar+$O_2$ and Ar+$H_2$)에서 R.F Magnetron Sputtering방법으로 증착하였다. TCO 박막의 구조적인 이해를 돕기 위해서 X-ray diffraction 과 FESEM으로 분석하였다. 광학적 투과도와 박막의 두께는 ultraviolet spectrophotometer (Varian, cary-500)와 surface profile measurement system으로 각각 측정하였다. 면저항 charge carrier 농도, 그리고 TCO 박막의 이동도와 같은 전기적특성은 four-point probe와 hall effect measurement(HMS-3000)로 각각 측정하였다. TCO 박막의 표면 거칠기 조절을 위해 photo lithography 공정을 사용하여 TCO 박막을 화학에칭 하였다. 미세사이즈 패턴 마스크가 사용되었으며 에칭의 깊이는 에칭시간에 따라 조절하였다. TCO 박막의 표면 형태는 FESEM과 AFM으로 관찰하였다. 투명전극으로 사용되는 ITO 및 AZO 기판 상용화를 위해 ITO 및 AZO 기판 위에 ${\alpha}$-NPB, Alq3, LiF, Al 의 순서로 증착 및 패터닝함으로써 OLED 소자를 제작하였다. 전류밀도와 전압 그리고 발광휘도와 전압과 같은 전기적 특성은 spectrometer(minolta CS-1000A)를 이용하여 측정하였다.

  • PDF

Characterization of Al-Doped ZnO Thin Film Grown on Buffer Layer with RF Magnetron Sputtering Method (버퍼 층을 이용한 RF 마그네트론 스퍼터 방법에 의한 Al:ZnO 박막의 성장)

  • No, Young-Soo;Park, Dong-Hee;Kim, Tae-Whan;Choi, Ji-Won;Choi, Won-Kook
    • Journal of the Korean Vacuum Society
    • /
    • v.18 no.3
    • /
    • pp.213-220
    • /
    • 2009
  • The optimal condition of low temperature deposition of transparent conductive Al-doped zinc oxide (AZO) films is studied by RF magnetron sputtering method. To achieve enhanced-electrical property and good crystallites quality, we tried to deposit on glass using a two-step growth process. This process was to deposit AZO buffer layer with optimal growth condition on glass in-situ state. The AZO film grown at rf 120 W on buffer layer prepared at RF $50{\sim}60\;W$ shows the electrical resistivity $3.9{\times}10^{-4}{\Omega}cm$, Carrier concentration $1.22{\times}10^{21}/cm^3$, and mobility $9.9\;cm^2/Vs$ in these results, The crystallinity of AZO film on buffer layer was similar to that of AZO film on glass with no buffer later but the electrical properties of the AZO film were 30% improved than that of the AZO film with no buffer layer. Therefore, the cause of enhanced electrical properties was explained to be dependent on degree of crystallization and on buffer layer's compressive stress by variation of $Ar^+$ ion impinging energy.

Schottky Contact Application을 위한 Yb Germanides 형성 및 특성에 관한 연구

  • Na, Se-Gwon;Gang, Jun-Gu;Choe, Ju-Yun;Lee, Seok-Hui;Kim, Hyeong-Seop;Lee, Hu-Jeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.399-399
    • /
    • 2013
  • Metal silicides는 Si 기반의microelectronic devices의 interconnect와 contact 물질 등에 사용하기 위하여 그 형성 mechanism과 전기적 특성에 대한 연구가 많이 이루어지고 있다. 이 중 Rare-earth(RE) silicides는 저온에서 silicides를 형성하고, n-type Si과 낮은 Schottky Barrier contact (~0.3 eV)을 이룬다. 또한 낮은 resistivity와 Si과의 작은 lattice mismatch, 그리고 epitaxial growth의 가능성, 높은 thermal stability 등의 장점을 갖고 있다. RE silicides 중 ytterbium silicide는 가장 낮은 electric work function을 갖고 있어 n-channel schottky barrier MOSFETs의 source/drain으로 주목받고 있다. 또한 Silicon 기반의 CMOSFETs의 성능 향상 한계로 인하여 germanium 기반의 소자에 대한 연구가 이루어져 왔다. Ge 기반 FETs 제작을 위해서는 낮은 source/drain series/contact resistances의 contact을 형성해야 한다. 본 연구에서는 저접촉 저항 contact material로서 ytterbium germanide의 가능성에 대해 고찰하고자 하였다. HRTEM과 EDS를 이용하여 ytterbium germanide의 미세구조 분석과 면저항 및 Schottky Barrier Heights 등의 전기적 특성 분석을 진행하였다. Low doped n-type Ge (100) wafer를 1%의 hydrofluoric (HF) acid solution에 세정하여 native oxide layer를 제거하고, 고진공에서 RF sputtering 법을 이용하여 ytterbium 30 nm를 먼저 증착하고, 그 위에 ytterbium의 oxidation을 방지하기 위한 capping layer로 100 nm 두께의 TiN을 증착하였다. 증착 후, rapid thermal anneal (RTA)을 이용하여 N2 분위기에서 $300{\sim}700^{\circ}C$에서 각각 1분간 열처리하여 ytterbium germanides를 형성하였다. Ytterbium germanide의 미세구조 분석은 transmission electron microscopy (JEM-2100F)을 이용하였다. 면 저항 측정을 위해 sulfuric acid와 hydrogen peroxide solution (H2SO4:H2O2=6:1)에서 strip을 진행하여 TiN과 unreacted Yb을 제거하였고, 4-point probe를 통하여 측정하였다. Yb germanides의 면저항은 열처리 온도 증가에 따라 감소하다 증가하는 경향을 보이고, $400{\sim}500^{\circ}C$에서 가장 작은 면저항을 나타내었다. HRTEM 분석 결과, deposition 과정에서 Yb과 Si의 intermixing이 일어나 amorphous layer가 존재하였고, 열처리 온도가 증가하면서 diffusion이 더 활발히 일어나 amorphous layer의 두께가 증가하였다. $350^{\circ}C$ 열처리 샘플에서 germanide/Ge interface에서 epitaxial 구조의 crystalline Yb germanide가 형성되었고, EDS 측정 및 diffraction pattern을 통하여 안정상인 YbGe2-X phase임을 확인하였다. 이러한 epitaxial growth는 면저항의 감소를 가져왔으며, 열처리 온도가 증가하면서 epitaxial layer가 증가하다가 고온에서 polycrystalline 구조의 Yb germanide가 형성되어 면저항의 증가를 가져왔다. Schottky Barrier Heights 측정 결과 또한 면저항 경향과 동일하게 열처리 증가에 따라 감소하다가 고온에서 다시 증가하였다.

  • PDF

Evaluation of Transparent Amorphous $V_2O_5$ Thin Film Prepared by Thermal Evaporation (진공증착법으로 제조한 투명 비정질 $V_2O_5$박막의 특성평가)

  • Hwang, Kyu-Seog;Jeong, Seol-Hee;Jeong, Ju-Hyun
    • Journal of Korean Ophthalmic Optics Society
    • /
    • v.13 no.1
    • /
    • pp.27-30
    • /
    • 2008
  • Purpose: This research is that $V_2O_5$ cathode's composition is possible in low temperature. Methods: Transparent in visible spectra range and crystallographically amorphous $V_2O_5$ thin films were prepared by simple vacuum thermal evaporation on soda-lime-silica slide glass substrate. After annealing at 100$^{\circ}C$, 150$^{\circ}C$ and 200$^{\circ}C$ for 10 minutes in air, the surface morphology and the fracture-cross section of the films were investigated by field emission - scanning electron microscope. Transmittance in visible spectra range and surface roughness of the films were analyzed by ultra violet - visible spectrophotometer and scanning probe microscope, respectively. Results: As the increase of annealing temperature from 100$^{\circ}C$ to 150$^{\circ}C$ and 200$^{\circ}C$, transmittance of the $V_2O_5$ films decreased. Optical properties will be fully discussed on the basis of the surface morphological results. Conclusions: Optical transmissivity was superior in case of 100$^{\circ}C$, and could make amorphous $V_2O_5$ thin film that surface quality of thin film did homogeneity.

  • PDF

Annealed effect on the Optical and Electrical characteristic of a-IGZO thin films transistor.

  • Kim, Jong-U;Choe, Won-Guk;Ju, Byeong-Gwon;Lee, Jeon-Guk
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2010.05a
    • /
    • pp.53.2-53.2
    • /
    • 2010
  • 지금까지 능동 구동 디스플레이의 TFT backplane에 사용하고 있는 채널 물질로는 수소화된 비정질 실리콘(a-Si:H)과 저온 폴리실리콘(low temperature poly-Si)이 대표적이다. 수소화된 비정질 실리콘은 TFT-LCD 제조에 주로 사용되는 물질로 제조 공정이 비교적 간단하고 안정적이며, 생산 비용이 낮고, 소자 간 특성이 균일하여 대면적 디스플레이 제조에 유리하다. 그러나 a-Si:H TFT의 이동도(mobility)가 1 cm2/Vs이하로 낮아 Full HD 이상의 대화면, 고해상도, 고속 동작을 요구하는 UD(ultra definition)급 디스플레이를 개발하는데 있어 한계 상황에 다다르고 있다. 또한 광 누설 전류(photo leakage current)의 발생을 억제하기 위해서 화소의 개구율(aperture ratio)을 감소시켜야하므로 패널의 투과율이 저하되고, 게이트 전극에 지속적으로 바이어스를 인가 시 TFT의 문턱전압(threshold voltage)이 열화되는 문제점을 가지고 있다. 문제점을 극복하기 위한 대안으로 근래 투명 산화물 반도체(transparent oxide semiconductor)가 많은 관심을 얻고 있다. 투명 산화물 반도체는 3 eV 이상의 높은 밴드갭(band-gap)을 가지고 있어 광 흡수도가 낮아 투명하고, 광 누설 전류의 영향이 작아 화소 설계시 유리하다. 최근 다양한 조성의 산화물 반도체들이 TFT 채널 층으로의 적용을 목적으로 활발하게 연구되고 있으며 ZnO, SnO2, In2O3, IGO(indium-gallium oxide), a-ZTO(amorphous zinc-tin-oxide), a-IZO (amorphous indium-zinc oxide), a-IGZO(amorphous indium-galliumzinc oxide) 등이 그 예이다. 이들은 상온 또는 $200^{\circ}C$ 이하의 낮은 온도에서 PLD(pulsed laser deposition)나 스퍼터링(sputtering)과 같은 물리적 기상 증착법(physical vapor deposition)으로 손쉽게 증착이 가능하다. 특히 이중에서도 a-IGZO는 비정질임에도 불구하고 이동도가 $10\;cm2/V{\cdot}s$ 정도로 a-Si:H에 비해 월등히 높은 이동도를 나타낸다. 이와 같이 a-IGZO는 비정질이 가지는 균일한 특성과 양호한 이동도로 인하여 대화면, 고속, 고화질의 평판 디스플레이용 TFT 제작에 적합하고, 뿐만 아니라 공정 온도가 낮은 장점으로 인해 플렉시블 디스플레이(flexible display)의 backplane 소재로서도 연구되고 있다. 본 실험에서는 rf sputtering을 이용하여 증착한 a-IGZO 박막에 대하여 열처리 조건 변화에 따른 a-IGZO 박막들의 광학적, 전기적 특성변화를 살펴보았고, 이와 더불어 a-IGZO 박막을 TFT에 적용하여 소자의 특성을 분석함으로써, 열처리에 따른 Transfer Curve에서의 우리가 요구하는 Threshold Voltage(Vth)의 변화를 관찰하였다.

  • PDF

Properties of ZnO nanostructures by metal deposited on Si substrates (Metal 증착한 Si 기판 상의 ZnO 나노 구조 특성)

  • Jang, Hyeon-Gyeong;Jung, Mi-Na;Park, Seung-Hwan;Shin, Dae-Hyeon;Yang, Min;Yao, Takafumi;Chang, Ji-Ho
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • v.9 no.1
    • /
    • pp.1034-1037
    • /
    • 2005
  • The variation of shapes and related properties of ZnO nanostructures grown on the metal pattern and Si substrate have been investigated. Ni, Cr metal patterns were formed on Si (111) substrates by e-beam evaporation, and ZnO nanostructures were fabricated on it by using thermal evaporation of Zn powder in air. Growth temperature was controlled from 500 $^{\circ}$C to 700 $^{\circ}$C. When the growth temperature was relatively low, no considerable effect was found. However, UV emission intensity decreased, and Green-emission intensity, which is regarded as originated from the defect state in the ZnO nanostructure, increased as growth temperature increase. Also, the variation of nanostructure shape at high temperature (700 $^{\circ}$C) is understood in terms of the enhanced incorporation of metal vapor during the nanostructure formation.

  • PDF

단일벽 탄소나노튜브의 직경 분포에 미치는 합성 템플레이트 및 공정변수의 영향

  • Gwak, Eun-Hye;Yun, Gyeong-Byeong;Jeong, Gu-Hwan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.250-250
    • /
    • 2013
  • 단일벽 탄소나노튜브(Single-walled nanotubes, SWNTs)는 나노스케일의 크기와 우수한 물성으로 인하여, 전자, 에너지, 바이오 분야로의 응용이 기대되고 있다. 특히 SWNTs의 직경을 제어하게 되면 튜브의 전도성 제어가 훨씬 수월하게 되어, 차세대 나노전자소자의 실현을 앞당길 수 있으며 이러한 이유로 많은 연구들이 현재 행해지고 있다. SWNTs의 직경제어 합성을 위해서는 현재 열화학기상증착법(Thermal chemical vapor deposition; TCVD)이 가장 일반적으로 이용되고 있으며, 합성 촉매와 합성되는 튜브의 직경과의 크기 연관성이 알려진 후로는, 촉매의 크기를 제어하여 SWNTs의 직경을 제어하고자 하는 연구들이 활발하게 보고되고 있다. 특히, 촉매 나노입자의 직경이 1~2 nm 이하로 감소될 경우, SWNTs의 직경 분포가 어떻게 변화할 것인지가 최근 가장 중요한 관심사로 남아 있으나, 이러한 크기의 금속입자는 나노입자의 융점저하 현상이 발현되는 영역이므로, SWNTs의 합성온도 영역에서 촉매 금속입자는 반액체(Semi-liquid) 상태로 존재할 것으로 추측하고 있다. 본 연구에서는 고온의 SWNTs 합성환경에서 금속나노촉매의 유동성을 제한하기 위하여 나노사이즈의 기공이 규칙적으로 정렬된 다공성 물질인 제올라이트를 촉매담지체로 이용하였고, 이 때 다양한 합성변수가 SWNTs의 직경에 미치는 영향을 살펴보고자 하였다. SWNTs의 합성을 위해 실리콘 산화막 기판 위에 제올라이트를 도포한 후, 합성 촉매로서 전자빔증발법을 통하여 수 ${\AA}$에서 수 nm 두께의 철 박막을 증착하였다. 합성은 메탄을 원료가스로 하여 TCVD법으로 실시하였다. 주요변수로는 제올라이트 종류, 증착하는 철 박막의 두께, 합성온도를 설정하였으며, 이에 따라 합성된 SWNTs의 합성수율 및 직경분포의 변화를 체계적으로 살펴보았다. SWNTs의 전체적인 합성수율의 변화는 SEM 관찰결과를 이용하였으며, SWNTs의 직경은 AFM 관찰 및 Raman 스펙트럼의 분석에서 도출하였다. 실험결과, 제올라이트 종류에 따라서는 명확한 튜브직경 분포의 변화 없이 비교적 좁은 직경분포를 갖는 SWNTs가 합성되었으며, 합성온도가 $850^{\circ}C$ 이하로 감소되면 합성수율이 현저히 감소되는 것을 알 수 있었다. 촉매박막의 두께가 1 nm 이상인 경우에서는 직경 5 nm 전후의 나노입자가 형성되었으며, 이때 SWNTs의 합성수율은 높았으나 다양한 직경의 튜브가 합성이 된 것을 확인할 수 있었다. 반면, 촉매입자의 크기가 2 nm 이하에서는 합성수율은 다소 저하되었으나, SWNTs의 직경분포의 폭이 상대적으로 훨씬 좁아지는 것을 알 수 있었다. 추후, 극미세 촉매와 저온합성 환경에서의 합성수율 향상을 위한 합성공정의 개량이 지속적으로 요구된다.

  • PDF

A Review on the Bonding Characteristics of SiCN for Low-temperature Cu Hybrid Bonding (저온 Cu 하이브리드 본딩을 위한 SiCN의 본딩 특성 리뷰)

  • Yeonju Kim;Sang Woo Park;Min Seong Jung;Ji Hun Kim;Jong Kyung Park
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.30 no.4
    • /
    • pp.8-16
    • /
    • 2023
  • The importance of next-generation packaging technologies is being emphasized as a solution as the miniaturization of devices reaches its limits. To address the bottleneck issue, there is an increasing need for 2.5D and 3D interconnect pitches. This aims to minimize signal delays while meeting requirements such as small size, low power consumption, and a high number of I/Os. Hybrid bonding technology is gaining attention as an alternative to conventional solder bumps due to their limitations such as miniaturization constraints and reliability issues in high-temperature processes. Recently, there has been active research conducted on SiCN to address and enhance the limitations of the Cu/SiO2 structure. This paper introduces the advantages of Cu/SiCN over the Cu/SiO2 structure, taking into account various deposition conditions including precursor, deposition temperature, and substrate temperature. Additionally, it provides insights into the core mechanisms of SiCN, such as the role of Dangling bonds and OH groups, and the effects of plasma surface treatment, which explain the differences from SiO2. Through this discussion, we aim to ultimately present the achievable advantages of applying the Cu/SiCN hybrid bonding structure.

PECVD를 이용한 2차원 이황화몰리브데넘 박막의 저온합성법 개발

  • Kim, Hyeong-U;An, Chi-Seong;Arabale, Girish;Lee, Chang-Gu;Kim, Tae-Seong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.274-274
    • /
    • 2014
  • 금속칼코게나이드 화합물중 하나인 $MoS_2$는 초저 마찰계수의 금속성 윤활제로 널리 사용되고 있으며 흑연과 비슷한 판상 구조를 지니고 있어 기계적 박리법을 통한 그래핀의 발견 이후 2차원 박막 합성법에 대한 활발한 연구가 진행되고 있다. 최근 다양한 응용이 진행 중인 그래핀의 경우 높은 전자이동도, 기계적 강도, 유연성, 열전도도 등 뛰어난 물리적 특성을 지니고 있으나 zero-bandgap으로 인한 낮은 on/off ratio는 thin film transistor (TFT), 논리회로(logic circuit) 등 반도체 소자 응용에 한계가 있다. 하지만 $MoS_2$는 벌크상태에서 약 1.2 eV의 indirect band-gap을 지닌 반면 단일층의 경우 1.8 eV의 direct-bandgap을 나타내고 있다. 또한 단일층 $MoS_2$를 이용하여 $HfO_2/MoS_2/SiO_2$ 구조의 트랜지스터를 제작하였을 때 $200cm^2/v^{-1}s^{-1}$의 높은 mobility와 $10^8$ 이상의 on/off ratio 나타낸다는 연구가 보고되어 있어 박막형 트랜지스터 응용을 위한 신소재로 주목을 받고 있다. 한편 2차원 $MoS_2$ 박막을 합성하기 위한 대표적인 방법인 기계적 박리법의 경우 고품질의 단일층 $MoS_2$ 성장이 가능하지만 대면적 합성에 한계를 지니고 있으며 화학기상증착법(CVD)의 경우 공정 gas의 분해를 위한 높은 온도가 요구되므로 박막형 투명 트랜지스터 응용을 위한 플라스틱 기판으로의 in-situ 성장이 어렵기 때문에 이를 보완할 수 있는 $MoS_2$ 박막 합성 공정 개발이 필요하다. 특히 Plasma enhanced chemical vapor deposition (PECVD) 방법은 공정 gas가 전기적 에너지로 분해되어 chamber 내부에서 cold-plasma 형태로 존 재하기 때문에 박막의 저온성장 및 대면적 합성이 가능하며 고진공을 바탕으로 합성 중 발생하는 오염 요소를 효과적으로 제어할 수 있다. 본 연구에서는PECVD를 이용하여 plasma power, 공정압력, 공정 gas의 유량 등 다양한 공정 변수를 조절함으로써 저온, 저압 조건하에서의 $MoS_2$ 박막 성장 가능성을 확인하였으며 전구체로는 Mo 금속과 $H_2S$ gas를 사용하였다. 또한 향후 flexible 소자 응용을 위한 플라스틱 기판의 녹는점을 고려하여 공정 온도는 $300^{\circ}C$ 이하로 설정하였으며 합성된 $MoS_2$ 박막의 두께 및 화학적 구성은 Raman spectroscopy를 이용하여 확인 하였다. 공정온도 $200^{\circ}C$$150^{\circ}C$에서 성장한 $MoS_2$ 박막의 Raman peak의 경우 상대적으로 낮은 공정온도로 인하여 Mo와 H2S의 화학적 결합이 감소된 것을 관찰할 수 있었고 $300^{\circ}C$의 경우 약 $26{\sim}27cm^{-1}$의 Raman peak 간격을 통해 5~6층의 $MoS_2$ 박막이 형성 된 것을 확인할 수 있었다.

  • PDF

Property of Nickel Silicides on ICP-CVD Amorphous Silicon with Silicidation Temperature (ICP-CVD 비정질 실리콘에 형성된 처리온도에 따른 저온 니켈실리사이드의 물성 변화)

  • Kim, Jong-Ryul;Choi, Young-Youn;Park, Jong-Sung;Song, Oh-Sung
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.9 no.2
    • /
    • pp.303-310
    • /
    • 2008
  • We fabricated hydrogenated amorphous silicon(a-Si:H) 140 nm thick film on a $180\;nm-SiO_2/Si$ substrate with an inductively-coupled plasma chemical vapor deposition(ICP-CVD) equipment at $250^{\circ}C$. Moreover, 30 nm-Ni film was deposited with a thermal-evaporator sequently. Then the film stack was annealed to induce silicides by a rapid thermal annealer(RTA) at $200{\sim}500^{\circ}C$ in every $50^{\circ}C$ for 30 minuets. We employed a four-point tester, high resolution X-ray diffraction(HRXRD), field emission scanning electron microscope(FE-SEM), transmission electron microscope(TEM), and scanning probe microscope(SPM) in order to examine the sheet resistance, phase transformation, in-plane microstructure, cross-sectional microstructure evolution, and surface roughness, respectively. We confirmed that nano-thick high resistive $Ni_3Si$, mid-resistive $Ni_2Si$, and low resistive NiSi phases were stable at the temperature of <300, $350{\sim}450^{\circ}C$, and >$450^{\circ}C$, respectively. Through SPM analysis, we confirmed the surface roughness of nickel silicide was below 12 nm, which implied that it was superior over employing the glass and polymer substrates.