• Title/Summary/Keyword: 이온식각

Search Result 275, Processing Time 0.028 seconds

직접 패터닝 기술을 이용한 $TiO_2$ 나노 패턴 형성

  • Yun, Gyeong-Min;Yang, Gi-Yeon;Lee, Heon
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.58.1-58.1
    • /
    • 2009
  • 나노 임프린트 리소그래피 기술은 기존의 노광 장비를 이용하는 기존의 리소그래피 공정에 비해 저렴한 공정으로 대면적 패터닝이 가능한 차세대 리소그래피 기술이다. 나노 임프린트 리소그래피는 기존의 나노 리소그래피 기술과는 다르게 기능성 무기물 물질을 직접 패터닝 할 수 있는 기술이다. 본 연구에서는 $TiO_2$ 나노 패턴을 를 기존의 증착, 리소그래피, 식각 등의 공정을 거치지 않고, sol-gel법과 나노 임프린트 리소그래피를 이용하여 직접 전사하는 기술에 대해 연구 하였다. 본 연구에서는 Tetrabutylorthotitanate를 precusor로 하는 ethanol 기반의 $TiO_2$ sol을 제작하여 이용하였다. PDMS mold를 임프린팅용 몰드로 사용하였으며, 이러한 PDMS mold는 노광 기술과 반응성 이온 식각을 이용하여 제작된 master mold로 부터 복제되었다. 제작된 sol을 Si wafer에 spin coating하여 넓게 도포한 후, wafer위에 PDMS mold를 밀착 시킨다. 이후, 5 bar의 압력과 $200^{\circ}C$의 온도에서 나노 임프린트 리소그래피 공정을 진행하여 $TiO_2$ gel 패턴을 형성한다. gel 상태의 $TiO_2$ 패턴을 anealing 공정을 통해 다결정질 TiO2 나노 패턴으로 제작하였다. 제작된 패턴을 scanning electron microscope(SEM)를 이용하여 확인하고, XRD 및 EDX를 이용하여 분석하였다.

  • PDF

Double-Mode SAW Filter for Mobile Communication System (이중 모드 결합에 의한 이동 통신 기기용 SAW 필터)

  • 정영지;진익수;황금찬
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.18 no.4
    • /
    • pp.468-480
    • /
    • 1993
  • In this paper, at first, the characteristics of double mode SAW (DMS) resonator are analysed by applying the wave guide model and coupled mode theory to 1-port resonator. The DMS resonators (2-pole), which are arranged in a close, parallel configuration of two identical 1-port resonators on a single plate, and 4-pole DMS filters are designed and fabricated at the center frequency of 150.15 MHz with 3-dB bandwidth of 80 KHz. The empirical design characteristics are obtained from the comparison of experimental and theoretical values resulted from several fabrications, and the narrow bandpass filters are implemented on the basis of the above empirical results, which can be used to mobile communication systems. A ST-cut quartz substrate is selected for the stable temperature-frequence characteristics, and high resolution photolithography is applied to the fabrication of filter to get the fine electrode patterns.

  • PDF

Fabrication of Hydrogel and Gas Permeable Membranes for FET Type Dissolved $CO_{2}$ Sensor by Photolithographic Method (사진식각법을 이용한 FET형 용존 $CO_{2}$ 센서의 수화젤막 및 가스 투과막 제작)

  • Park, Lee-Soon;Kim, Sang-Tae;Koh, Kwang-Nak
    • Journal of Sensor Science and Technology
    • /
    • v.6 no.3
    • /
    • pp.207-213
    • /
    • 1997
  • A field effect transistor(FET) type dissolved carbon dioxide($pCO_{2}$) sensor with a double layer structure of hydrogel membrane and $CO_{2}$ gas permeable membrane was fabricated by utilizing a $H^{+}$ ion selective field effect transistor(pH-ISFET) with Ag/AgCl reference electrode as a base chip. Formation of hydrogel membrane with photo-crosslinkable PVA-SbQ or PVP-PVAc/photosensitizer system was not suitable with the photolithographic process. Furthermore, hydrogel membrane on pH-ISFET base chip could be fabricated by photolithographic method with the aid of N,N,N',N'-tetramethyl othylenediarnine(TED) as $O_{2}$ quencher without using polyester film as a $O_{2}$ blanket during UV irradiation process. Photosensitive urethane acrylate type oligomer was used as gas permeable membrane on top of hydrogel layer. The FET type $pCO_{2}$ sensor fabricated by photolithographic method showed good linearity (linear calibration curve) in the range of $10^{-3}{\sim}10^{0}\;mol/{\ell}$ of dissolved $CO_{2}$ in aqueous solution with high sensitivity.

  • PDF

대면적 플라즈마 공정에서 자장이 내장형 선형 유도결합형 플라즈마 특성에 미치는 영향에 관한 연구

  • 경세진;이영준;김경남;염근영
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2003.05a
    • /
    • pp.55-55
    • /
    • 2003
  • 최근 높은 해상도의 평판 디스플레이 장치 특히 차세대 TFT-LCD를 개발하기 위해서는 건식식각공정의 개발이 필수 불가결하며 이는 플라즈마 공정장치의 대면적화가 가능해야 한다. 따라서 산업계는 이러한 제조 조건에 알맞는 대면적 플라즈마 반응기 개발을 추구하고 있다. 이를 위해서는 건식식각공정의 개발이 필수 불가결하며 이를 위해선 플라즈마 공정장 치의 대면적화가 가능해야 한다. 이러한 대면적 공정을 위해서는 낮은 공정압력, 고밀도, 높은 플라즈마 균일도가 요구된다. 또한 이러한 대면적 고밀도 플라즈마에의 적용을 위하여 새로운 유도결합형 플라즈마 소오스의 개발이 진행되고 있으며, 안정적인 300mm웨이퍼 공정을 위하여 여러 형태의 안테나가 연구되어지고 있다. 그러나 차세대 TFT-LCD에 적용 가 능하게끔 기존의 ICP 소오스를 직접적으로 대면적화 하는데 있어서는 안테나의 인덕턴스의 값이 키지며, 유전물질의 두께 증가 및 그에 따른 재료비의 상슴에 의해 그 한계점을 나타 내었다. 본 연구에서는 차세대 TFT-LCD 및 POP 대면적 공정에 적용 가능한 고밀도 플라즈마를 발생시키기 위해서 내장형 유도결합형 선형 안테나를 사용하였다. 내장형 유도결합형 선형 안테나가 가지고 있는 고유의 정전기적 결합효과를 최소화시키기 위해 직사각형모양의 플라즈마 챔버(830mm*1,020mm)에서 영구자석을 사용하였다. 영구자석을 사용하여 외부자 장을 인가하였을 때가, 그럴지 않은 때보다 RF 안테나에 걸리는 코일의 전압을 낮춰주었으며, 영구자석의 배열에 따라 코일의 인덕턴스의 값이 크게 변함을 알 수 있었다. 그리고, 최적화된 자장의 배열은 플라즈마의 이온밀도를 증가시켰으며, 플라즈마 균일도 또한 10% 이 내로 유지됨을 알 수 있었다. 따른 식각 메커니즘에 대하여 알아보고자 하였다. $CF_4/Cl_2$ gas chemistry 에 첨 가 가스로 $N_2$와 Ar을 첨 가할 경 우 텅 스텐 박막과 하부 layer 간의 etch selectivity 증가는 관찰되지 않았으며, 반면에 첨가 가스로 $O_2$를 사용할 경우, $O_2$의 첨가량이 증가함에 따라 etch s selectivity 는 계속적으로 증가렴을 관찰할 수 있었다. 이는 $O_2$ 첨가에 따라 형성되는 WOF4 에 의한 텅스텐의 etch rates 의 감소에 비하여, $Si0_2$ 등의 형성에 의한 poly-Si etch rates 이 더욱 크게 감소하였기 때문으로 사료된다. W 과 poly-Si 의 식각 특성을 이해하기 위하여 X -ray photoelectron spectroscopy (XPS)를 사용하였으며, 식각 전후의 etch depth 를 측정하기 위하여 stylus p pmfilometeT 를 이용하였다.X> 피막이 열처리 전후에 보아는 기계적 특성의 변화 양상은 열역학적으로 안정한 Wurzite-AlN의 석출에 따른 것으로 AlN 석출상의 크기에 의존하며, 또한 이러한 영향은 $(Ti_{1-x}AI_{x})N$ 피막에 존재하는 AI의 함량이 높고, 초기에 증착된 막의 업자 크기가 작을 수록 클 것으로 여겨진다. 그리고 환경의 의미의 차이에 따라 경관의 미학적 평가가 달라진 것으로 나타났다.corner$적 의도에 의한 경관구성의 일면을 확인할수 있지만 엄밀히 생각하여 보면 이러한 예의 경우도 최락의 총체적인 외형은 마찬가지로

  • PDF

Molecular dynamics study of redeposition effect by Ar ion bombardments on Au, Pd(001) (Ar이온 충돌에 의한 Au, Pd(001) 표면에서 재증착 효과의 분자동역학 연구)

  • Kim, S.P.;Kim, S.J.;Kim, D.Y.;Chung, Y.C.;Lee, K.R.
    • Journal of the Korean Vacuum Society
    • /
    • v.17 no.2
    • /
    • pp.81-89
    • /
    • 2008
  • Atomic behavior during ion beam sputtering was investigated by using classical molecular dynamics simulation. When Ar ion bombards on Au and Pd(001) surface with various incidence energies and angles, some atoms which gained substantial energy by impacting Ar ion were sputtered out and, simultaneously, others were landed on the surface as if surface atoms were redeposited. It was observed that the redeposited atoms are five times for Au and three times for Pd as many as sputtered atoms irrespective of both incidence energy and angle. From sequential ion bombarding calculations, contrary to the conventional concepts which have described the mechanism of surface pattern formation based only on the erosion theory, the redeposition atoms were turned out to play a significant role in forming the surface patterns.

Microstructure and plasma resistance of Y2O3 ceramics (Y2O3 세라믹스의 미세구조 및 플라즈마 저항성)

  • Lee, Hyun-Kyu;Lee, Seokshin;Kim, Bi-Ryong;Park, Tae-Eon;Yun, Young-Hoon
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.24 no.6
    • /
    • pp.268-273
    • /
    • 2014
  • $Y_2O_3$ ceramic specimens were fabricated from the granular powder, obtained by spray drying process from the slurry. The slurry was prepared by mixing PVA binder, NaOH for Ph control, PEG and $Y_2O_3$ powder. The $Y_2O_3$ specimen was shaped in size of ${\phi}14mm$ and then sintered at $1650^{\circ}C$. The characteristics, microstructure, densities and plasma resistance of the $Y_2O_3$ specimens were investigated with the function of forming pressure and sintering time. $Y_2O_3$ specimens were exposed under the $CHF_3/O_2/Ar$ plasma, the dry etching treatment of specimens was carried out by the physical reaction etching of $Ar^+$ ion beam and the chemical reaction etching of $F^-$ ion decomposed from $CHF_3$. With increasing sintering time, $Y_2O_3$ specimens showed relatively high density and strong resistance in plasma etching test.

A Study on the Approximation analytical Model of PECVD Topography simulator considering the effect of the presheath (플라즈마 증착 형상 모의 실험기의 앞덮개 효과를 고려한 근사 해석적 모델에 관한 연구)

  • Lee, Kang-Whan;Son, Myung-Sik;Hwang, Ho-Jung
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.1
    • /
    • pp.90-99
    • /
    • 1999
  • In this work, we consider the effect of the presheath on the ion angular distribution. The recent shows the ion-neutral collision in the presheath and the calculated energy flux with the ion angular distribution at the presheath edge in plasma reactor. We also propose a new approximation analytical model for the ion angular distribution and the energy flux distribution with ion temperature. The ion passing the presheath region, Shows a ion scattering effect without ion-neutral collisions. This because the kinetic energy by the ambipolar diffusion field is changed by the gas collision,. Using the proposed approximation analytical model, we show the simulated results of a deposit profile on variable trench shape.

  • PDF

MeV급 양성자 빔을 이용한 PMMA 리소그래피

  • Choi, Han-Woo;Woo, Hyung-Joo;Hong, Wan;Kim, Young-Seok;Kim, Gi-Dong;Kim, Jun-Gon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.90-90
    • /
    • 2000
  • 이온빔을 이용한 리소그래피의 경우 미크론 이하의 미세구조를 형성할 수 있는 유용한 수단으로서 방사광 X-선과 함께 주목을 받고 있으며, 이와 같은 미세구조 제작은 MEMS (Micro Electro-Mechanical System) 개발에 있어서 매우 중요하다. 그러나 이온빔을 이용한 리소그래피에 대한 연구가 많이 이루어져 있지 않은 상태이다. MeV급 양정사 빔을 이용한 리소그래피의 가능성을 확인하기 위하여 기본적인 실험을 수행하였으며, 최적 이온빔 조사 조건 및 최적 현상 조건을 도출하였다. Resist로는 PMMA를 사용하였으며, 1.8 MeV 양성자 빔을 사용하여 50$\mu\textrm{m}$ 깊이의 구조물을 만들었다. 1.8MeV 양성자 빔의 조사선량이 7x1013ions/cm2 이상이 되면 PMMA 내부에 기포가 형성되므로 적정 조사선량을 4x1013 ions/cm2으로 결정하였다. 또한 선량을 4x1013ions/cm2 으로 고정하고 선량률을 변화시켜주면 선량률이 8x1011ions/cm2S 일 때부터 시료에 기포나 터짐 현상 등의 문제가 발생하였으며 5x1010~~1x1010ions/cm2s 의 선량률이 조사시간, 결함측면에서 가장 적합한 영역임을 알 수 있었다. 현상제로는 20% morpholine, 5% etanolamine 60% diethylenglykol-monobutylether, 15% 증류수를 혼합하여 사용하였다. 현상 온도를 30~5$0^{\circ}C$로 변화시켜서 현상을 한 결과, 4$0^{\circ}C$에서 현상 소요시간은 1시간 이내이며 SEM으로 관찰된 표면의 상태도 제일 양호한 결과를 보였다. 82 mesh 밀도, 선굵기 60$\mu\textrm{m}$, 크기 20x20 mm인 백금 망을 마스크로 사용하여 실제 3차원 미세구조를 제작하여 보았다. 그림 1에서 제작된 구조물의 SEM 사진을 보여주었으며, 식각된 면의 조도가 매우 뛰어나며 모서리의 직각성도 우수함을 확인할 수 있다. 이와 같이 도출된 시험 조건을 기초로 하여 리소그래피 후에 전기 도금을 이용한 금속 몰드 제작 및 이온빔 리소그래피 장점을 최대한 살릴수 있는 미세구조 제작에 대한 연구를 계속 추진할 계획이다.

  • PDF

ICP-CVD 방법에 의한 TiN diffusion Barrier Thin Film 형성

  • 오대현;강민성;오경숙;양창실;양두훈;이유성;이광만;변종철;최치규
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.118-118
    • /
    • 1999
  • CVD방법에 의한 TiN 박막 형성에 있어서 ICP-CVD 방법이 대두되고 있다. 이것은 precursor에 대한 radical 형성, 식각된 패턴에서 양 벽의 self-shadowing 효과, 낮은 tress등으로 dense 한 박막을 얻을 수 있기 때문이다. TiN 박막은 Si 기판의 온도를 상온에서 50$0^{\circ}C$까지 유지하면서 TEMAT의 유량을 5-20sccm으로 변화시키면서 증착하였다. 증착 후 TiN 박막의 결정화에 따른 열처리는 Ar과 N2-가스분위기에서 in-situ로 증착하였다. 증착 후 TiN 박막증착 조건수립에 따른 플라즈마 특성진단은 전자의 온도와 밀도, 평균 전자밀도, 이온 에너지 분포, radical 분포, negative 이온분포 등으로 측정하였다. 플라즈마 변수에 따른 TiN 박막의 결정성과 상 변화는 XRD로 분석하였고, 조성비 및 TiN 박막의 원소화학적 상태, 결합에너지, 각 상에 따른 결합 에너지 천이정도, 초기 형성과정 및 반응기구 등은 RBS와 XPS로 조사하였다. TiN 박막의 표면상태, morphology 거칠기, TiN/Si(100)구조에서 계면상태 등은 SEM, AFM, 그리고 HRTEM으로 분석하였다. TiN 구조 박막의 비저항, carrier concentration 그리고 mobility 측정은 박막의 표면이 균일하고 bls-홀이 없는 것으로 하여 4-point probe 방법으로 측정하였다. 이들 분석으로부터 ICP-CVD 방법에 의하여 형성된 TiN 박막이 초고집적 반도체 소자의 contact barrier layer로서의 적용 가능성을 평가하였다.

  • PDF

Reactive Ion Etching Characteristics of 3C-SiC Grown on Si Wafers (Si(100)기판위에 성장된 3C-SiC 박막의 반응성 이온식각 특성)

  • ;;Shigehira Nishino
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.724-728
    • /
    • 2004
  • This paper describes on RIE(Reactive Ion Etching) characteristics of 3C-SiC(Silicon Carbide) grown on Si(100) wafers. In this work, CHF$_3$ gas was used to form the polymer as a function of a side-wall for excellent anisotropy etching during the RIE process. The ranges of the etch rate were obtained from 60 $\AA$/min to 980 $\AA$/min according to the conditions such as working gas pressure, RF power, distance between electrodes and the $O_2$ addition ratio in working gas pressure. Under the condition such as 100 mTorr of working gas pressure, 200 W of RF power and 30 mm of the distance between electrodes, mesa structures with about 40 of the etch angle were formed, and the vertical structures could be improved with 50 % of $O_2$ addition ratio in reactive gas during the RIE process. As a result of the investigation, we know that it is possible to apply the RIE process of 3C-SiC using CHF$_3$ for the development of electronic parts and MEMS applications in harsh environments.