• Title/Summary/Keyword: $HfO_2$ oxide thickness

Search Result 45, Processing Time 0.023 seconds

Electrical and Material Characteristics of HfO2 Film in HfO2/Hf/Si MOS Structure (HfO2/Hf/Si MOS 구조에서 나타나는 HfO2 박막의 물성 및 전기적 특성)

  • Bae, Kun-Ho;Do, Seung-Woo;Lee, Jae-Sung;Lee, Yong-Hyun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.2
    • /
    • pp.101-106
    • /
    • 2009
  • In this paper, Thin films of $HfO_2$/Hf were deposited on p-type wafer by Atomic Layer Deposition (ALD). We studied the electrical and material characteristics of $HfO_2$/Hf/Si MOS capacitor depending on thickness of Hf metal layer. $HfO_2$ films were deposited using TEMAH and $O_3$ at $350^{\circ}C$. Samples were then annealed using furnace heating to $500^{\circ}C$. Round-type MOS capacitors have been fabricated on Si substrates with $2000\;{\AA}$-thick Pt top electrodes. The composition rate of the dielectric material was analyzed using TEM (Transmission Electron Microscopy), XRD (X-ray Diffraction) and XPS (X-ray Photoelectron Spectroscopy). Also the capacitance-voltage (C-V), conductance-voltage (G-V), and current-voltage (I-V) characteristics were measured. We calculated the density of oxide trap charges and interface trap charges in our MOS device. At the interface between $HfO_2$ and Si, both Hf-Si and Hf-Si-O bonds were observed, instead of Si-O bond. The sandwiched Hf metal layer suppressed the growing of $SiO_x$ layer so that $HfSi_xO_y$ layer was achieved. And finally, the generation of both oxide trap charge and interface trap charge in $HfO_2$ film was reduced effectively by using Hf metal layer.

A Study on the Abnormal Oxidation of Stacked Capacitor due to Underlayer Dependent Nitride Deposition (질화막 성장의 하지의존성에 따른 적층캐패시터의 이상산화에 관한 연구)

  • 정양희
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.1
    • /
    • pp.33-40
    • /
    • 1998
  • The composite SiO$_2$/Si$_3$N$_4$/SiO$_2$(ONO) film formed by oxidation on nitride film has been widely studied as DRAM stacked capacitor multi-dielectric films. Load lock(L/L) LPCVD system by HF cleaning is used to improve electrical capacitance and to scale down of effective thickness for memory device, but is brings a new problem. Nitride film deposited using HF cleaning shows selective deposition on poly silicon and oxide regions of capacitor. This problem is avoidable by carpeting chemical oxide using $H_2O$$_2$cleaning before nitride deposition. In this paper, we study the limit of nitride thickness for abnormal oxidation and the initial deposition time for nitride deposition dependent on underlayer materials. We proposed an advanced fabrication process for stacked capacitor in order to avoid selective deposition problem and show the usefulness of nitride deposition using L/L LPCVD system by $H_2O$$_2$cleaning. The natural oxide thickness on polysilicon monitor after HF and $H_2O$$_2$cleaning are measured 3~4$\AA$, respectively. Two substrate materials have the different initial nitride deposition times. The initial deposition time for polysilicon is nearly zero, but initial deposition time for oxide is about 60seconds. However the deposition rate is constant after initial deposition time. The limit of nitride thickness for abnormal oxidation under the HF and $H_2O$$_2$cleaning method are 60$\AA$, 48$\AA$, respectively. The results obtained in this study are useful for developing ultra thin nitride fabrication of ONO scaling and for avoiding abnormal oxidation in stacked capacitor application.

  • PDF

Electrical Characterization of $HfO_2$/Hf/Si MOS Capacitor with Thickness of Hf Metal Layer (Hf metal layer의 두께에 따른 $HfO_2$/Hf/Si MOS 커패시터의 전기적 특성)

  • Bae, Kun-Ho;Do, Seung-Woo;Lee, Jae-Sung;Lee, Yong-Hyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.9-10
    • /
    • 2007
  • In this paper, Thin films of $HfO_2$/Hf were deposited on p-type wafer by Atomic Layer Deposition(ALD). And we studied the electrical characterization of $HfO_2$/Hf/Si MOS capacitor depending on thickness of Hf metal layer. $HfO_2$ films were deposited using TEMAH and $O_3\;at\;350^{\circ}C$. Samples were then annealed using furnace heating to $500^{\circ}C$. The MOS capacitor of round-type was fabricated on Si substrates. Through TEM(Transmission Electron Microscope), XRD(X-ray Diffraction), capacitance-voltage(C-V) and current-voltage(I-V) analysis, the role of thin Hf metal layer for the better $HfO_2$/Si interface property was investigated.

  • PDF

A Study on the Characteristic of MOS structure using $HfO_{2}$ as high-k gate dielectric film ($HfO_{2}$를 이용한 MOS 구조의 제작 및 특성)

  • Park, C.I.;Youm, M.S.;Park, J.W.;Kim, J.W.;Sung, M.Y.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.163-166
    • /
    • 2002
  • We investigated structural and electrical properties of Metal-Oxide-Semiconductor(MOS) structure using Hafnium $oxide(HfO_{2})$ as high-k gate dielectric material. $HfO_{2}$ films are ultrathin gate dielectric material witch have a thickness less than 2.0nm, so it is spotlighted to be substituted $SiO_{2}$ as gate dielectric material. In this paper We have grown $HfO_{2}$ films with pt electrode on P-type Silicon substrate by RF magnetron sputtering system using $HfO_{2}$ target and oserved the property of semiconductor-oxide interface. Using pt electrode, it is necessary to be annealed at ${300^{\circ}C}$. This process is to increase an adhesion ratio between $HfO_{2}$ films with pt electrode. In film deposition process, the deposition time of $HfO_{2}$ films is an important parameter. Structura1 properties are invetigated by AES depth profile, and electrical properties by Capacitance-Voltage characteristic. Interface trap density are measured to observe the interface between $HfO_{2}$ with Si using High-frequency(1MHz) C-V and Quasi - static C-V characteristic.

  • PDF

Deposition and Characterization of $HfO_2/SiNx$ Stack-Gate Dielectrics Using MOCVD (MOCVD를 이용한 $HfO_2/SiNx$ 게이트 절연막의 증착 및 물성)

  • Lee Taeho;Oh Jaemin;Ahn Jinho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.11 no.2 s.31
    • /
    • pp.29-35
    • /
    • 2004
  • Hafnium-oxide gate dielectric films deposited by a metal organic chemical vapor deposition technique on a $N_2-plasma$ treated SiNx and a hydrogen-terminated Si substrate have been investigated. In the case of $HfO_2$ film deposited on a hydrogen-terminated Si substrate, suppressed crystallization with effective carbon impurity reduction was obtained at $450^{\circ}C$. X-ray photoelectron spectroscopy indicated that the interface layer was Hf-silicate rather than phase separated Hf-silicide and silicon oxide structure. Capacitance-voltage measurements show equivalent oxide thickness of about 2.6nm for a 5.0 nm $HfO_2/Si$ single layer capacitor and of about 2.7 nm for a 5.7 nm $HfO_2/SiNx/Si$ stack capacitor. TEM shows that the interface of the stack capacitor is stable up to $900^{\circ}C$ for 30 sec.

  • PDF

Characteristics of Hafnium Oxide Gate Dielectrics Deposited by Remote Plasma-enhanced Atomic Layer Deposition using Oxygen Plasma (산소 플라즈마를 이용하여 원거리 플라즈마 원자층 증착법으로 형성된 하프늄 옥사이드 게이트 절연막의 특성 연구)

  • Cho, Seung-Chan;Jeon, Hyeong-Tag;Kim, Yang-Do
    • Korean Journal of Materials Research
    • /
    • v.17 no.5
    • /
    • pp.263-267
    • /
    • 2007
  • Hafnium oxide $(HfO_2)$ films were deposited on Si(100) substrates by remote plasma-enhanced atomic layer deposition (PEALD) method at $250^{\circ}C$ using TEMAH [tetrakis(ethylmethylamino)hafnium] and $O_2$ plasma. $(HfO_2)$ films showed a relatively low carbon contamination of about 3 at %. As-deposited and annealed $(HfO_2)$ films showed amorphous and randomly oriented polycrystalline structure. respectively. The interfacial layer of $(HfO_2)$ films deposited using remote PEALD was Hf silicate and its thickness increased with increasing annealing temperature. The hysteresis of $(HfO_2)$ films became lower and the flat band voltages shifted towards the positive direction after annealing. Post-annealing process significantly changed the physical, chemical, and electrical properties of $(HfO_2)$ films. $(HfO_2)$ films deposited by remote PEALD using TEMAH and $O_2$ plasma showed generally improved film qualities compare to those of the films deposited by conventional ALD.

Study on the Structural Stability and Charge Trapping Properties of High-k HfO2 and HFO2/Al2O3/HfO2 Stacks (High-k HfO2와 HfO2/Al2O3/HfO2 적층막의 구조 안정성 및 전하 트랩핑 특성 연구)

  • Ahn, Young-Soo;Huh, Min-Young;Kang, Hae-Yoon;Sohn, Hyunchul
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.3
    • /
    • pp.256-261
    • /
    • 2010
  • In this work, high-k dielectric stacks of $HfO_2$ and $HfO_2$/$Al_2O_3$/$HfO_2$ (HAH) were deposited on $SiO_2/Si$ substrates by atomic layer deposition as charge trapping layers in charge trapping devices. The structural stability and the charge trapping characteristics of such stacks were investigated using Metal-Alumina-Hafnia-Oxide-Silicon (MAHOS) structure. The surface roughness of $HfO_2$ was stable up to 11 nm with the insertion of 0.2 nm thick $Al_2O_3$. The effect of the thickness of the HAH stack and the thickness of intermediate $Al_2O_3$ on charge trapping characteristics were investigated for MAHOS structure under various gate bias pulse with duration of 100 ms. The threshold voltage shift after programming and erase showed that the memory window was increased with increasing bias on gate. However, the programming window was independent of the thickness of HAH charge trapping layers. When the thickness of $Al_2O_3$insertion increased from 0.2 nm to 1 nm, the erase window was decreased without change in the programming window.

Theoretical Calculation and Experimental Verification of the Hf/Al Concentration Ratio in Nano-mixed $Hf_xAl_yO_z$ Films Prepared by Atomic Layer Deposition

  • Kil, Deok-Sin;Yeom, Seung-Jin;Hong, Kwon;Roh, Jae-Sung;Sohn, Hyun-Cheol;Kim, Jin-Woong;Park, Sung-Wook
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.5 no.2
    • /
    • pp.120-126
    • /
    • 2005
  • We have proposed a characteristic method to estimate real composition when multi component oxide films are deposited by ALD. Final atomic concentration ratio was theoretically calculated from the film densities and growth rates for $HfO_2$ and $Al_2O_3$ using ALD processed HfxAhOz mms.W e have transformed initial source feeding ratio during deposition to fins] atomic ratio in $Hf_xAl_yO_z$ films through thickness factors ($R_{HFO_2}$ ami $R_{Al_2O_3}$) ami concentration factor(C) defined in our experiments. Initial source feeding ratio could be transformed into the thickness ratio by each thickness factor. Final atomic ratio was calculated from thickness ratio by concentration factor. It has been successfully confirmed that the predicted atomic ratio was in good agreement with the actual measured value by ICP-MS analysis.

Charge trapping characteristics of high-k $HfO_2$ layer for tunnel barrier engineered nonvolatile memory application (엔지니어드 터널베리어 메모리 적용을 위한 $HfO_2$ 층의 전하 트랩핑 특성)

  • You, Hee-Wook;Kim, Min-Soo;Park, Goon-Ho;Oh, Se-Man;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.133-133
    • /
    • 2009
  • It is desirable to choose a high-k material having a large band offset with the tunneling oxide and a deep trapping level for use as the charge trapping layer to achieve high PIE (Programming/erasing) speeds and good reliability, respectively. In this paper, charge trapping and tunneling characteristics of high-k hafnium oxide ($HfO_2$) layer with various thicknesses were investigated for applications of tunnel barrier engineered nonvolatile memory. A critical thickness of $HfO_2$ layer for suppressing the charge trapping and enhancing the tunneling sensitivity of tunnel barrier were developed. Also, the charge trap centroid and charge trap density were extracted by constant current stress (CCS) method. As a result, the optimization of $HfO_2$ thickness considerably improved the performances of non-volatile memory(NVM).

  • PDF

The Effect of Annealing on Soft Magnetic Properties of Ee-Hf-N Thin Films Prepared by Reactive Sputtering (반응성 스퍼터링에 의해 제조된 Fe-Hf-N 박막의 연자기 특성에 미치는 열처리 영향)

  • 김경일;김병호;김병국;제해준
    • Journal of the Korean Magnetics Society
    • /
    • v.10 no.4
    • /
    • pp.165-170
    • /
    • 2000
  • The purpose of this study is to investigate the effect of annealing conditions on physical and magnetic properties of Fe-Hf-N thin films. When the thin films were annealed in $N_2$ gas, a surface oxide layer, comprised of Fe$_2$O$_3$ and Fe$_3$O$_4$, was formed at the surface of the thin films and a Fe-Hf-O-N layer was also formed under this surface oxide layer. It was found that the thicknesses of the surface oxide layer and the Fe-Hf-O-N layer increased, as the annealing temperature increased. It was also found that if the thickness of the surface oxide layer was excluded in the property calculation, the soft magnetic properties of the annealed thin films were not much different from those of the as-deposited thin films. Therefore, it was suggested that the Fe-Hf-O-N layer formed under the surface oxide layer did not lose significantly the soft magnetic properties of the Fe-Hf-N films and the Fe-Hf-N films annealed in $N_2$gas showed the soft magnetic properties of the Fe-Hf-N and Fe-Hf-O-N multi-layers.

  • PDF