DOI QR코드

DOI QR Code

Regression Algorithms Evaluation for Analysis of Crosstalk in High-Speed Digital System

  • Minhyuk Kim (Department of Electronic Engineering, Soonchunhyang University)
  • Received : 2023.11.07
  • Accepted : 2024.06.03
  • Published : 2024.06.30

Abstract

As technology advances, processor speeds are increasing at a rapid pace and digital systems require a significant amount of data bandwidth. As a result, careful consideration of signal integrity is required to ensure reliable and high-speed data processing. Crosstalk has become a vital area of research in signal integrity for electronic packages, mainly because of the high level of integration. Analytic formulas were analyzed in this study to identify the features that can predict crosstalk in multi-conductor transmission lines. Through the analysis, five variables were found and obtained a dataset consisting of 302,500, data points. The study evaluated the performance of various regression models for optimization via automatic machine learning by comparing the machine learning predictions with the analytic solution. Extra tree regression consistently outperformed other algorithms, with coefficients of determination exceeding 0.9 and root mean square logarithmic errors below 0.35. The study also notes that different algorithms produced varied predictions for the two metrics.

Keywords

1. Introduction

The rapid development of artificial intelligence technologies, such as ChatGPT, necessitates digital systems with high data bandwidth [1]. Signal integrity problems, previously addressed mainly from a radio frequency standpoint, now pose a crucial issue for both digital and analog designs as technology advances and digital systems become faster. Furthermore, advancements in design technology, such as improved efficiency and miniaturization, are exacerbating the issue of crosstalk in signal integrity within semiconductor circuits, including integrated circuits. This problem is caused by a combination of factors, including the increase in clock frequency, decrease in rise/fall time, and lengthening of interconnection lines due to high speeds Crosstalk is more common in the latest high-bandwidth memory (HBM) technologies discovered in DRAM, which can result in logic errors or reduced noise margins. These technologies employ Through Silicon Via (TSV) instead of conventional wire bonding to attain speed and size advantages, as depicted in Fig. 1. Consequently, stable system operation necessitates the consideration of system crosstalk during the design process's placement and routing.

E1KOBZ_2024_v18n6_1449_2_f0001.png 이미지

Fig. 1. 3D-stacked DRAM architecture example.

Crosstalk analysis is typically performed during the design phase using physical-based (PB) approaches [2, 3]. There has been extensive research into the analysis of crosstalk using formulas [4, 5]. However, implementing these formulas for complex real-world problems is not straightforward. Additionally, calculating multiple integrals for computing bent shapes is much faster than PB methods, but still requires a considerable time. PB techniques are relatively precise, they are also slow, prompting researchers to explore machine learning (ML) alternatives [6-13]. Researchers employed ML in [6] to analyze signal and power integrity in various experiments, with a significant portion of the training data obtained through HFSS. ML research applied to a variety of electromagnetic problems still relies on traditional PB methods that are sluggish, requiring significant computing resources and time for data acquisition. As a result, progress in this field is relatively slow

An analytical approach was used to conduct crosstalk analysis of transmission lines with multiple conductors to gather data for optimizing machine learning (ML) algorithms. The detailed analytic solutions for these transmission lines is summarized in [4] and has been implemented in several studies [5, 14]. In addition to the theoretical solution for parallel transmission lines discussed in [4], an analytical solution for crosstalk in bent structures has been examined in [5]. Consequently, it is feasible to obtain crosstalk datasets for diverse structures using solely theoretical solutions, which can be acquired rapidly.

In this study, a dataset was constructed by analyzing the analytical formula and extracting the essential parameters for learning. The outcomes were obtained through a ML and compared to the analytical solution using widely used regression analysis metrics. The most optimized ML regression algorithm for the model used in this study was determined using automatic machine learning (AutoML).

2. Analytic formula of multiconductor transmission lines

Crosstalk refers to the undesired transfer of energy resulting from the inductive/capacitive coupling of conductors that are closely positioned relative to their electrical length. Fig. 2 demonstrates that crosstalk can be divided into two categories: near-end crosstalk (NEXT), where the induced current propagates in the opposite direction of the signal current, and far-end crosstalk (FEXT), where the induced current propagates in the same direction.

E1KOBZ_2024_v18n6_1449_3_f0001.png 이미지

Fig. 2. NEXT/FEXT between parallel traces.

Fig. 3 depicts the model derived from this analysis. The height of the board is represented by t, and its dielectric permittivity is denoted by εr. The width and length of the trace are indicated by w and L, respectively. The distance between the traces is denoted by s.

E1KOBZ_2024_v18n6_1449_3_f0002.png 이미지

Fig. 3. Crosstalk analysis model.

The equations for multi-conductor transmission lines in the frequency domain, presented in matrix form, follow:

\(\begin{align}\frac{d}{d z} \overrightarrow{\mathbf{V}}(z)=-\overrightarrow{\mathbf{Z}} \overrightarrow{\mathbf{I}}(z)\end{align}\)       (1-1)

\(\begin{align}\frac{d}{d z} \overrightarrow{\mathbf{I}}(z)=-\overrightarrow{\mathbf{Y}} \overrightarrow{\mathbf{V}}(z)\end{align}\)       (1-2)

where vectors \(\begin{align}\overrightarrow{\mathbf{V}}\end{align}\) and \(\begin{align}\overrightarrow{\mathbf{I}}\end{align}\) represent the line voltage and current, respectively. Additionally, \(\begin{align}\overrightarrow{\mathbf{Z}}\end{align}\) and \(\begin{align}\overrightarrow{\mathbf{Y}}\end{align}\) denote the per-unit-length matrix impedance and admittance, correspondingly. In [14], \(\begin{align}\overrightarrow{\mathbf{Z}}\end{align}\) and \(\begin{align}\overrightarrow{\mathbf{Y}}\end{align}\) are derived from the per-unit-length capacitance (C) and inductance (L) matrix in the following.

In [14], the per-unit-length capacitance and inductance of a printed circuit board (PCB) were calculated using the method of moments (MoM). First, the generalized capacitance matrix C is computed as follow:

\(\begin{align}\left[\begin{array}{l}q_{0} \\ q_{1} \\ q_{2}\end{array}\right]=\left[\begin{array}{lll}C_{00} & C_{01} & C_{02} \\ C_{10} & C_{11} & C_{12} \\ C_{20} & C_{21} & C_{22}\end{array}\right]\left[\begin{array}{l}\phi_{0} \\ \phi_{1} \\ \phi_{2}\end{array}\right]\end{align}\)       (2)

where q represents the per-unit-length charge distribution, and ϕ represents the conductor potential. The subscripts in (2) denotes the number of each trace portrayed in Fig. 3. Within the MoM technique, the charge distribution can be represented through a linear combination of basis functions or the pulse expansion method, resulting in the calculation of the generalized capacitance matrix C from the conductor potential ϕ. The self-potential ϕself and potential on another segment ϕ are expressed as:

\(\begin{align}\begin{array}{l} \phi_{\text {self }}(w)= \frac{w}{2 \pi \varepsilon_{0} \varepsilon_{r, e f f}}\left[1-\ln \left(\frac{w}{2}\right)\right]+\frac{w}{2 \pi \varepsilon_{0} \varepsilon_{r, e f f}}\left(1+\frac{\varepsilon_{r}-1}{\varepsilon_{r}+1}\right) \sum_{n=1}^{\infty}\left(\frac{\varepsilon_{r}-1}{\varepsilon_{r}+1}\right)^{(2 n-1)} \\ \left\{1-\ln \left(\frac{w}{2}\right)-\frac{1}{2} \ln \left[1+\left(\frac{4 n t}{w}\right)^{2}\right]-\left(\frac{4 n t}{w}\right) \tan ^{-1}\left(\frac{w}{4 n t}\right)\right\}\end{array}\end{align}\)       (3-1)

\(\begin{align}\begin{array}{l}\phi(w, d)=\frac{w}{2 \pi \varepsilon_{0} \varepsilon_{r, e f f}}\left[1-\ln \left(\frac{w}{2}\right)+\frac{1}{2}\left(\frac{2 d}{w}-1\right) \ln \left(\frac{2 d}{w}-1\right)-\frac{1}{2}\left(\frac{2 d}{w}+1\right) \ln \left(\frac{2 d}{w}+1\right)\right] \\ +\frac{w}{2 \pi \varepsilon_{0} \varepsilon_{r, e f f}}\left(1+\frac{\varepsilon_{r}-1}{\varepsilon_{r}+1}\right) \sum_{n=1}^{\infty}\left(\frac{\varepsilon_{r}-1}{\varepsilon_{r}+1}\right)^{(2 n-1)} \\ 1-\ln \left(\frac{w}{2}\right)+\frac{1}{4}\left(\frac{2 d}{w}-1\right) \ln \left[\left(\frac{2 d}{w}-1\right)^{2}+\left(\frac{4 n t}{w}\right)^{2}\right] \\ \times\left\{\begin{array}{l}-\frac{1}{4}\left(\frac{2 d}{w}+1\right) \ln \left[\left(\frac{2 d}{w}+1\right)^{2}+\left(\frac{4 n t}{w}\right)^{2}\right] \\ +\left(\frac{2 n t}{w}\right)\left[\tan ^{-1}\left(\frac{\frac{2 d}{w}-1}{4 n \frac{t}{w}}\right)-\tan ^{-1}\left(\frac{\frac{2 d}{w}+1}{4 n \frac{t}{w}}\right)\right]\end{array}\right\}\end{array}\\\end{align}\)       (3-2)

where effective dielectric permittivity εr,eff is expressed as:

\(\begin{align}\varepsilon_{r, e f f}=\frac{\left(\varepsilon_{r}+1\right)}{2}\end{align}\)       (4)

Additionally, the per-unit-length inductance matrix L indicated in (3) is dependent on the same parameters as per-unit-length capacitance matrix C mentioned above.

L = μ0ε0C-10       (5)

where C0 is the per-unit-length capacitance matrix without the board. These values are utilized in the multi-conductor transmission lines equation to obtain a solution.

Finally, the general solution for multi-conductor transmission lines equation with respect to line position z in (1-1) can be computed through decoupling utilizing the similarity transformation. The resulting expression is provided below:

\(\begin{align}\overrightarrow{\mathbf{V}}(z)=\overrightarrow{\mathbf{Z}}_{c} \overrightarrow{\mathbf{T}}_{I}\left(e^{-\bar{\gamma} z} \overrightarrow{\mathbf{I}}_{\mathrm{m}}^{+}+e^{\bar{j} z} \overrightarrow{\mathbf{I}}_{\mathrm{m}}^{-}\right)\end{align}\)       (6)

where

\(\begin{align}\overrightarrow{\mathbf{T}}_{I}^{-1} \overrightarrow{\mathbf{Y}} \overrightarrow{\mathbf{Z}} \overrightarrow{\mathbf{T}}_{I}=\vec{\gamma}^{2}\end{align}\) (7)

and the characteristic impedance matrix is expressed as follow:

\(\begin{align}\overrightarrow{\mathbf{Z}}_{C}=\overrightarrow{\mathbf{Z}} \overrightarrow{\mathbf{T}}_{I} \vec{\gamma}^{-1} \overrightarrow{\mathbf{T}}_{I}^{-1}\end{align}\)       (8)

From (6), it is evident that the analytic expression of crosstalk is determined by the five variables illustrated in Fig. 3. In order to examine crosstalk using machine learning, the dataset is organized as outlined in Table 1.

Table 1. Dataset for analyzing crosstalk

E1KOBZ_2024_v18n6_1449_6_t0001.png 이미지

Of the 302,500 data points, 70% were utilized for training and 30% for evaluating the model.

3. Open-source, low-code machine learning library

There are many studies on crosstalk analysis, including recurrent neural networks [15], convolutional neural networks [16], and spatio-temporal convolutional neural networks [17], but among them, studies using artificial neural network (ANN) and deep neural network (DNN) are dominant [3]. Since ANNs are faster than DNNs and have an advantage when feature selection is accurate, this study analyzes crosstalk using ANNs.

ANN is a basic type of neural network that stores and learns information as weights, akin to the human brain. Fig. 4 depicts the behavior of a neuron in the brain, modified to portray a formula. ANNs have a multi-layer architecture where the output is fed back into another neuron through an activation function.

E1KOBZ_2024_v18n6_1449_6_f0001.png 이미지

Fig. 4. Activation function on node.

Fig. 5 illustrates an ANN structure with two input layers, one hidden layer, and an output layer. Of all the training classifications for ANNs, supervised learning is the most frequently utilized method for design purposes. This technique involves training an ANN using a dataset that includes correct answers and can be broadly categorized into regression and classification tasks. Regression pertains to forecasting values based on features found in the given data. Regression analysis using supervised learning is a commonly utilized method in signal integrity research.

E1KOBZ_2024_v18n6_1449_7_f0001.png 이미지

Fig. 5. ANN architecture.

The prediction of ANNs is influenced by data preprocessing, feature selection, and the training algorithm used. Popular regression analysis algorithms in this field include Linear Regression, Ridge, and Lasso, with ongoing research efforts dedicated to their effectiveness. In the case of replacing PB methods with ML, the difference in results depending on the training algorithm has been studied in the field of wireless power transmission [18, 19]. However, in signal integrity studies, the number of algorithms used is not many, or it is difficult to identify which algorithm was used.

In this study, AutoML was utilized to efficiently assess the accuracy of numerous machine learning algorithms. Traditional ML approaches entail significant difficulties in constructing ML models, which involve intricate and repetitive tasks, such as data preprocessing, model selection, and hyperparameter tuning. AutoML streamlines these processes by automating them as much as possible, thereby boosting efficiency at each stage of ML and deep learning (DL), as illustrated in Fig. 6.

E1KOBZ_2024_v18n6_1449_8_f0001.png 이미지

Fig. 6. Comparison of concept maps for ML, DL, and AutoML.

Among the many AutoML libraries, PyCaret was utilized, a Python-based low-code library for ML. PyCaret is currently open source and serves as a wrapper for other notable open source libraries including XGBoost, spaCy, and scikit-learn. The library offers detailed features such as ensembles and parameter tuning, making it effortless to obtain multiple results by simply preparing a dataset. PyCaret has been extensively researched in various ML fields [20-22], although signal integrity research has not yet reported its use. In this study, different performance evaluation outcomes were computed using PyCaret and picked an algorithm customized for crosstalk analysis of the model presented in Fig. 3.

4. Results and Discussion

The study assessed prediction accuracy and algorithm performance for NEXT and FEXT through the use of root-mean-square log error (RMSLE) and coefficient of determination (R2) metrics. In regression analysis, the RMSLE is a reliable measure for outlier detection due to its logarithmic scale and emphasis on relative error. Also, R2 established the correlation degree between the regression line and data points, often utilized for comparative purposes of relative performance.

\(\begin{align}R M S L E=\sqrt{\frac{1}{N} \sum_{i=1}^{N}\left(\log \left(T D_{i}+1\right)-\log \left(P D_{i}+1\right)\right)^{2}}\end{align}\)       (9-1)

\(\begin{align}\mathrm{R}^{2}=1-\frac{\frac{1}{N} \sum_{i=1}^{N}\left(T D_{i}-P D_{i}\right)^{2}}{\frac{1}{N} \sum_{i=1}^{N}\left(T D_{i}-\overline{T D}\right)^{2}}\end{align}\)       (9-2)

where N represents the number of data samples, TD represents the data intended for prediction, and PD represents the predicted data. The RMSLE and R2 evaluation results for all 19 regression algorithms are presented in Fig. 7 and Fig. 8, respectively, including AdaBoost Regressor (ada), Bayesian Ridge (br), Decision Tree Regressor (dt), Dummy Regressor (dummy), Elastic Net (en), Extra Trees Regressor (et), Extreme Gradient Boosting (xgboost), Gradient Boosting Regressor (gbr), Huber Regressor (huber), K Neighbors Regressor (knn), Lasso Least Angle Regression (llar), Lasso Regression (lasso), Least Angle Regression (lar), Light Gradient Boosting Machine (lightgbm), Linear Regression (lr), Orthogonal Matching Pursuit (omp), Passive Aggressive Regressor (par), Random Forest Regressor (rf), and Ridge Regression (ridge).

Fig. 7. Comparison results for NEXT: (a) RMSLE and (b) R2.

Fig. 8. Comparison results for FEXT: (a) RMSLE and (b) R2.

The model's performance is better when RMSLE is closer to 0 and R2 approaches 1. Of all machine learning models, et and rf exhibited superior results on metric (9) among various regression algorithms. The evaluation using et yielded slightly better outcomes, thus the models were assessed using non-training data. The evaluation results are shown in Table 2.

Table 2. Model evaluation result using et

E1KOBZ_2024_v18n6_1449_9_t0001.png 이미지

The par model does not accurately reflect the trend line in the data, as evidenced by its negative value in the R2 evaluation results. Because the optimal algorithm may be incorrect and may vary depending on the analysis environment, it is important to identify the optimal algorithm in advance.

To assess the impact of the variables listed in Table 1 on the results, the feature importance was calculated and shown in Fig. 9. The model used in this study was calculated to be slightly more influenced by frequency than other variables. However, the importance of other variables was also significant, confirming that they are necessary factors for learning.

E1KOBZ_2024_v18n6_1449_11_f0002.png 이미지

Fig. 9. Feature importance.

5. Conclusion

Relevant parameters were identified through analysis of pre-existing analytic formulas. A dataset was efficiently generated by utilizing an analytic approach. PyCaret, a powerful machine learning library in Python, was employed to predict crosstalk using this dataset. The results from various learning algorithms were evaluated using commonly-used metrics such as RMSLE and R2 in regression analysis. The evaluation revealed significant variation in prediction performance among the different algorithms. The feature importance of the variables for the printed circuit board model used in this study was calculated, and the optimal algorithm was determined. For future studies aimed at facilitating their application to real-world issues, this research will be expanded to complex models with bent structures, utilizing the algorithms identified as the most effective in this investigation.

References

  1. J. Fan, X. Ye, J. Kim, B. Archambeault, and A. Orlandi, "Signal Integrity Design for High-Speed Digital Circuits: Progress and Directions," IEEE Transactions on Electromagnetic Compatibility, vol.52, no.2, pp.392-400, 2010.
  2. M. Schierholz, A. Sanchez-Masis, A. Carmona-Cruz, X. Duan, K. Roy, C. Yang, R. Rimolo-Donadio, and C. Schuster, "SI/PI-Database of PCB-Based Interconnects for Machine Learning Applications," IEEE Access, vol.9, pp.34423-34432, 2021.
  3. G. Shan, G. Li, Y. Wang, C. Xing, Y. Zheng, and Y. Yang, "Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems," Micromachines, vol.14, pp.344, 2023.
  4. C. R. Paul, Analysis of Multiconductor Transmission Lines, 2nd ed., John Wiley & Sons, New Jersey, 2007.
  5. S. W. Park, F. Xiao, D. C. Park, and Y. Kami, "Crosstalk Analysis Method for Two Bent Lines on a PCB Using a Circuit Model," IEICE TRANSACTIONS on Communications, vol.E90-B, no.6, pp.1313-1321, 2007.
  6. M. Swaminathan, H. M. Torun, H. Yu, J. A. Hejase, and W. D. Becker, "Demystifying Machine Learning for Signal and Power Integrity Problems in Packaging," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.10, no.8, pp.1276-1295, 2020.
  7. W. T. Beyene, "Application of Artificial Neural Networks to Statistical Analysis and Nonlinear Modeling of High-Speed Interconnect Systems," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, no.1, pp.166-176,2006.
  8. H. Kim, C. Sui, K. Cai, B. Sen, and J. Fan, "Fast and Precise High-Speed Channel Modeling and Optimization Technique Based on Machine Learning," IEEE Transactions on Electromagnetic Compatibility, vol.60, no.6, pp.2049-2052, 2018.
  9. R. Medico, D. Spina, D. Vande Ginste, D. Deschrijver, and T. Dhaene, "Machine-Learning-Based Error Detection and Design Optimization in Signal Integrity Applications," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.9, no.9, pp.1712-1720, 2019.
  10. S. Chen, J. Chen, T. Zhang, and S. Wei, "Semi-Supervised Learning Based on Hybrid Neural Network for the Signal Integrity Analysis," IEEE Transactions on Circuits and Systems II: Express Briefs, vol.67, no.10, pp.1934-1938, 2020.
  11. T. Lu, J. Sun, K. Wu, and Z. Yang, "High-Speed Channel Modeling with Machine Learning Methods for Signal Integrity Analysis," IEEE Transactions on Electromagnetic Compatibility, vol.60, no.6, pp.1957-1964, 2018.
  12. H. H. Zhang, Z. S. Xue, X. Y. Liu, P. Li, L. Jiang, and G. M. Shi, "Optimization of High-Speed Channel for Signal Integrity with Deep Genetic Algorithm," IEEE Transactions on Electromagnetic Compatibility, vol.64, no.4, pp.1270-1274, 2022.
  13. C. H. Goay, A. A. Aziz, N. S. Ahmad, and P. Goh, "Eye Diagram Contour Modeling Using Multilayer Perceptron Neural Networks with Adaptive Sampling and Feature Selection," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.9, no.12, pp.2427-2441, 2019.
  14. A. Shoory, M. Rubinstein, A. Rubinstein, C. Romero, N. Mora, and F. Rachidi, "Application of the Cascaded Transmission Line Theory of Paul and McKnight to the Evaluation of NEXT and FEXT in Twisted Wire Pair Bundles," IEEE Transactions on Electromagnetic Compatibility, vol.55, no.4, pp.648-656, 2013.
  15. T. Nguyen, T. Lu, J. Sun, Q. Le, K. We and J. Schut-Aine, "Transient Simulation for High-Speed Channels with Recurrent Neural Network," in Proc. of 2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS), pp.303-305, 2018.
  16. R. Shibata, M. Ohira and Z. Ma, "A Novel Convolutional-Autoencoder Based Surrogate Model for Fast S-parameter Calculation of Planar BPFs," in Proc. of 2022 IEEE/MTT-S International Microwave Symposium - IMS 2022, pp.498-501, 2022.
  17. H. M. Torun, H. Yu, N. Dasari, V. C. K. Chekuri, A. Singh; J. Kim, S. K. Lim, S. Mukhopadhyay, and M. Swaminathan, "A Spectral Convolutional Net for Co-Optimization of Integrated Voltage Regulators and Embedded Inductors," in Proc. of 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.1-8, 2019.
  18. S. A. A. Mahmud, P. Jayathurathnage, and S. A. Tretyakov, "Machine Learning Assisted Characteristics Prediction for Wireless Power Transfer Systems," IEEE Access, vol.10, pp.40496- 40505, 2022.
  19. M. Kim, W. Y. E. F. Niada, and S. Park, "Predicting Receiver Characteristics without Sensors in an LC-LC Tuned Wireless Power Transfer System Using Machine Learning," Sensors, vol.24, 501, 2024.
  20. C. Bournez, M. Riool, L. de Boer, R. A. Cordfunke, L. de Best, R. van Leeuwen, J. W. Drijfhout, S. A. J. Zaat, and G. J. P. van Westen, "CalcAMP: A New Machine Learning Model for the Accurate Prediction of Antimicrobial Activity of Peptides," Antibiotics, vol.12, 725, 2023.
  21. P-P. Phyo, Y-C. Byun, and N. Park, "Short-Term Energy Forecasting Using Machine-Learning-Based Ensemble Voting Regression," Symmetry, vol.14, 160, 2022.
  22. B. N. Jawad, S. M. Shaker, I. Altintas, J. Eugen-Olsen, J. O. Nehlin, O. Andersen, and T. Kallemose, "Development and validation of prognostic machine learning models for short- and long-term mortality among acutely admitted patients based on blood tests," Scientific Reports, vol.14, 5942, 2024.