• Title/Summary/Keyword: thin films capacitor

Search Result 231, Processing Time 0.023 seconds

Study on the Shift in the P-E Hysteresis Curve and the Fatigue Behavior of the PZT Capacitors Fabricated by Reactive Sputtering (반응성 스퍼터링법으로 형성시킨 PZT 커패시티의 P-E 이력곡선의 이동현상 및 피로 특성 연구)

  • Kim, Hyun-Ho;Lee, Won-Jong
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.11
    • /
    • pp.983-989
    • /
    • 2005
  • [ $PZT(Pb(Zr,Ti)O_3)$ ] thin films were deposited by multi-target reactive sputtering method on $RuO_2$ substrates. Pure perovskite phase PZT films could be obtained by introducing Ti-oxide seed layer on the $RuO_2$ substrates prior to PZT film deposition. The PZT films deposited on the $RuO_2$ substrates showed highly voltage-shifted hysteresis loop compared with the films deposited on the Pt substrates. The surface of $RuO_2$ substrate was found to be reduced to metallic Ru in vacuum at elevated temperature, which caused the formation of oxygen vacancies at the initial stage of PZT film deposition and gave rise to the voltage shift in the P-E hysteresis loop of the PZT capacitor. The fatigue characteristics of the PZT capacitors under unipolar wane electric field were different from those under bipolar wane. The fatigue test under unipolar wane showed the increase of polarization. It was thought that the ferroelectric domains which had been pinned by charged defects such as oxygen vacancies and the charged defects were reduced in number by combining with the electrons injected from the electrode under unipolar wave, resulting in the relaxation of the ferroelectric domains and the increase of polarization.

A Study on the Abnormal Oxidation of Stacked Capacitor due to Underlayer Dependent Nitride Deposition (질화막 성장의 하지의존성에 따른 적층캐패시터의 이상산화에 관한 연구)

  • 정양희
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.1
    • /
    • pp.33-40
    • /
    • 1998
  • The composite SiO$_2$/Si$_3$N$_4$/SiO$_2$(ONO) film formed by oxidation on nitride film has been widely studied as DRAM stacked capacitor multi-dielectric films. Load lock(L/L) LPCVD system by HF cleaning is used to improve electrical capacitance and to scale down of effective thickness for memory device, but is brings a new problem. Nitride film deposited using HF cleaning shows selective deposition on poly silicon and oxide regions of capacitor. This problem is avoidable by carpeting chemical oxide using $H_2O$$_2$cleaning before nitride deposition. In this paper, we study the limit of nitride thickness for abnormal oxidation and the initial deposition time for nitride deposition dependent on underlayer materials. We proposed an advanced fabrication process for stacked capacitor in order to avoid selective deposition problem and show the usefulness of nitride deposition using L/L LPCVD system by $H_2O$$_2$cleaning. The natural oxide thickness on polysilicon monitor after HF and $H_2O$$_2$cleaning are measured 3~4$\AA$, respectively. Two substrate materials have the different initial nitride deposition times. The initial deposition time for polysilicon is nearly zero, but initial deposition time for oxide is about 60seconds. However the deposition rate is constant after initial deposition time. The limit of nitride thickness for abnormal oxidation under the HF and $H_2O$$_2$cleaning method are 60$\AA$, 48$\AA$, respectively. The results obtained in this study are useful for developing ultra thin nitride fabrication of ONO scaling and for avoiding abnormal oxidation in stacked capacitor application.

  • PDF

PZT thin capacitor characteristics of the using Pt-Ir($Pt_{80}Ir_{20}$)-alloy (Pt-Ir($Pt_{80}Ir_{20}$)-alloy를 이용한 PZT 박막 캐패시터 특성)

  • Jang, Yong-Un;Chang, Jin-Min;Lee, Hyung-Seok;Lee, Sang-Hyun;Moon, Byung-Moo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.05c
    • /
    • pp.47-52
    • /
    • 2002
  • A processing method is developed for preparing sol-gel derived $Pb(Zr_{1-x}Ti_x)O_3$ (x=0.5) thin films on Pt-Ir($Pt_{80}Ir_{20}$)-alloy substrates. The as-deposited layer was dried on a plate in air at $70^{\circ}C$. And then it was baked at $1500^{\circ}C$, annealed at $450^{\circ}C$ and finally annealed for crystallization at various temperatures ranging from $580^{\circ}C$ to $700^{\circ}C$ for 1hour in a tube furnace. The thickness of the annealed film with three layers was $0.3{\mu}m$. Crystalline properties and surface morphology were examined using X-ray diffractometer (XRD). Electrical properties of the films such as dielectric constant, C-V, leakage current density were measured under different annealing temperature. The PZT thin film which was crystallized at $600^{\circ}C$ for 60minutes showed the best structural and electrical dielectric constant is 577. C-V measurement show that $700^{\circ}C$ sample has window memory volt of 2.5V and good capacitance for bias volts. Leakage current density of every sample show $10^{-8}A/cm^2$ r below and breakdown voltage(Vb) is that 25volts.

  • PDF

Effect of Seed-layer thickness on the Crystallization and Electric Properties of SBN Thin Films. (SBN 박막의 결정화 및 전기적 특성에 관한 씨앗층 두께의 영향)

  • Jang, Jae-Hoon;Lee, Dong-Gun;Lee, Hee-Young;Cho, Sang-Hee
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.271-274
    • /
    • 2003
  • [ $Sr_xBa_{1-x}Nb_2O_6$ ] (SBN, $0.25{\leq}x{\leq}0.75$) ceramic is a ferroelectric material with tetragonal tungsten bronze (TTB) type structure, which has a high pyroelectric coefficient and a nonlinear electro-optic coefficient value. In spite of its advantages, SBN has not been investigated well compared to other ferroelectric materials with perovskite structure. In this study, SBN thin film was manufactured by ion beam sputtering technique using the prepared SBN target in $Ar/O_2$ atmosphere. SBN30 thin films of different thickness were pre-deposited as a seed layer on $Pt(100)/TiO_2/SiO_2/Si$ substrate followed by SBN60 deposition up to $4500\;{\AA}$ in thickness. As-deposited SBN60/SBN30 layer was heat-treated at different temperatures of 650, 700, 750, and $800\;^{\circ}C$ in air, respectively, The crystallinity and orientation behavior as well as electric properties of SBN60/SBN30 multi-layer were examined. The deposited layer was uniform and the orientation was shown primarily along (001) plane from XRD pattern. There was difference in the crystal structure with heat-treatment temperature, and the electric properties depended on the heating temperature and the seed-layer thickness. In electric properties of Pt/SBN60/SBN30/Pt thin film capacitor prepared, the remnant polarization (2Pr) value was $15\;{\mu}C/cm^2$, the coercive field (Ec) 65 kV/cm, and the dielectric constant 1492, respectively.

  • PDF

A study on the growth of $Al_2{O_3}$ insulation films and its application ($Al_2{O_3}$절연박막의 형성과 그 활용방안에 관한 연구)

  • 김종열;정종척;박용희;성만영
    • Electrical & Electronic Materials
    • /
    • v.7 no.1
    • /
    • pp.57-63
    • /
    • 1994
  • Aluminum oxide($Al_2{O_3}$) offers some unique advantages over the conventional silicon dioxide( $SiO_{2}$) gate insulator: greater resistance to ionic motion, better radiation hardness, possibility of obtaining low threshold voltage MOS FETs, and possibility of use as the gate insulator in nonvolatile memory devices. We have undertaken a study of the dielectric breakdown of $Al_2{O_3}$ on Si deposited by GAIVBE technique. In our experiments, we have varied the $Al_2{O_3}$ thickness from 300.angs. to 1400.angs. The resistivity of $Al_2{O_3}$ films varies from 108 ohm-cm for films less than 100.angs. to 10$_{13}$ ohm-cm for flims on the order of 1000.angs. The flat band shift is positive, indicating negative charging of oxide. The magnitude of the flat band shift is less for negative bias than for positive bias. The relative dielectric constant was 8.5-10.5 and the electric breakdown fields were 6-7 MV/cm(+bias) and 11-12 MV/cm (-bias).

  • PDF

Electrical Characterization of BST Thin Film by IDC pattern (IDC 패턴에 따른 BST 전기적 특성)

  • Roh, Ji-Hyoung;Kim, Sung-Su;Song, Sang-Woo;Kim, Ji-Hong;Koh, Jung-Hyuk;Moon, Byung-Moo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.200-200
    • /
    • 2008
  • This paper reports on electrical characterization by IDC pattern using BST$(Ba_{0.5}Sr_{0.5}TiO_3)$ thin film. BST thin films have been deposited on $Al_2O_3$ Substrates by Nd-YAG pulsed laser deposition with a 355nm wavelength at $700^{\circ}C$. The post deposition annealing at $750^{\circ}C$ in flowing $O_2$ atmosphere for I hours. The capacitance of IDC patterns have been measured from 1 to 10 GHz as a function fo electric field (${\pm}40$ KV/cm) at room temperature using interdiigitated Au electrodes deposited on top of BST. The IDC patterns have three type of fingers number. For the finger paris was increased onto $Al_2O_3$, the capacitance increased. The capacitance of 5 pairs finger was 0.3pF and 10 pairs finger was 0.9pF.

  • PDF

Ferroelectric Properties of SBT Capacitor with Annealing Times

  • Cho, Choon-Nam;Lee, Joon-Ung
    • Transactions on Electrical and Electronic Materials
    • /
    • v.5 no.2
    • /
    • pp.66-70
    • /
    • 2004
  • The Sr$\_$0.7/Bi$\_$2.3/Ta$_2$O$\_$9/(SBT)thin films are deposited on Pt-coated electrode (Pt/TiO$_2$/SiO$_2$/Si) using a RE magnetron sputtering method. The ferroelectric properties of SBT capacitors with annealing times were studied. As a result of conducting the X-ray diffraction analysis and the electron microscopy analysis, the perovskite phase began to grow from 10 minutes after annealing the specimen, and excellent crystallization was accomplished at 60 minutes after annealing the specimen. The remanet polarization (2P$\_$r/) value and the coercive electric field (E$\_$c/) of the SBT thin film specimen showed the most excellent characteristics at 60 minutes after annealing the specimen, which were approximately 12.40 C/$\textrm{cm}^2$ and 30 kV/cm, respectively. The leakage current density of the SBT thin film specimen as annealed for 60 minutes was approximately 2.81${\times}$10$\^$-9/A/$\textrm{cm}^2$.

The Characteristics of LLLC in Ultra Thin Silicon Oxides (실리콘 산화막에서 저레벨누설전류 특성)

  • Kang, C.S.
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.50 no.8
    • /
    • pp.285-291
    • /
    • 2013
  • In this paper, MOS-Capacitor and MOSFET devices with a Low Level Leakage Current of oxide thickness, channel width and length respectively were to investigate the reliability characterizations mechanism of ultra thin gate oxide films. These stress induced leakage current means leakage current caused by stress voltage. The low level leakage current in stress and transient current of thin silicon oxide films during and after low voltage has been studied from strss bias condition respectively. The stress channel currents through an oxide measured during application of constant gate voltage and the transient channel currents through the oxide measured after application of constant gate voltage. The study have been the determination of the physical processes taking place in the oxides during the low level leakage current in stress and transient current by stress bias and the use of the knowledge of the physical processes for driving operation reliability.

Investigation on manufacturing and electrical properties of$Ba_{0.5}Sr_{0.5}TiO_3$thin film capacitors using RE Magnetron Sputtering (RF Magnetron Sputtering을 이용한 $Ba_{0.5}Sr_{0.5}TiO_3$박막 커패시터의 제작과 전기적 특성에 관한 연구)

  • 이태일;박인철;김홍배
    • Journal of the Korean Vacuum Society
    • /
    • v.11 no.1
    • /
    • pp.1-7
    • /
    • 2002
  • We deposited $Ba_{0.5}Sr_{0.5}TiO_3$(BST) thin-films on Pt/Ti/$SiO_2$/Si substrates using RF magnetron sputtering method. A Substrate temperature was fixed at room temperature, while working gas flow ratio and RF Power were changed from 90:10 to 60:40 and 50 W, 75 W respectively. Also after BST thin films were deposited, we performed annealing in oxygen atmosphere using Rapid Thermal Annealing. For capacitor application we deposited Pt using E-beam evaporator of UHV system. In a structural property study through XRD measurement we found that crystallization depends on annealing rather than working gas ratio or and RF Power. Electrical properties showed relatively superior characteristic on the annealed sample with 50 W of RF Power.

High Performance of SWIR HgCdTe Photovoltaic Detector Passivated by ZnS

  • Lanh, Ngoc-Tu;An, Se-Young;Suh, Sang-Hee;Kim, Jin-Sang
    • Journal of Sensor Science and Technology
    • /
    • v.13 no.2
    • /
    • pp.128-132
    • /
    • 2004
  • Short wave infrared (SWIR) photovoltaic devices have been fabricated from metal organic vapour phase epitaxy (MOVPE) grown n- on p- HgCdTe films on GaAs substrates. The MOVPE grown films were processed into mesa type discrete devices with wet chemical etching employed for meas delineation and ZnS surface passivatlon. ZnS was thermally evaporated from effusion cell in an ultra high vacuum (UHV) chamber. The main features of the ZnS deposited from effusion cell in UHV chamber are low fixed surface charge density, and small hysteresis. It was found that a negative flat band voltage with -0.6 V has been obtained for Metal Insulator Semiconductor (MIS) capacitor which was evaporated at $910^{\circ}C$ for 90 min. Current-Voltage (I-V) and temperature dependence of the I-V characteristics were measured in the temperature range 80 - 300 K. The Zero bias dynamic resistance-area product ($R_{0}A$) was about $7500{\Omega}-cm^{2}$ at room temperature. The physical mechanisms that dominate dark current properties in the HgCdTe photodiodes are examined by the dependence of the $R_{0}A$ product upon reciprocal temperature. From theoretical considerations and known current expressions for thermal and tunnelling process, the device is shown to be diffusion limited up to 180 K and g-r limited at temperature below this.