• Title/Summary/Keyword: plasma-enhanced chemical vapor deposition (PECVD)

Search Result 400, Processing Time 0.029 seconds

Optimized ultra-thin tunnel oxide layer characteristics by PECVD using N2O plasma growth for high efficiency n-type Si solar cell

  • Jeon, Minhan;Kang, Jiyoon;Oh, Donghyun;Shim, Gyeongbae;Kim, Shangho;Balaji, Nagarajan;Park, Cheolmin;Song, Jinsoo;Yi, Junsin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.308-309
    • /
    • 2016
  • Reducing surface recombination is a critical factor for high efficiency silicon solar cells. The passivation process is for reducing dangling bonds which are carrier. Tunnel oxide layer is one of main issues to achieve a good passivation between silicon wafer and emitter layer. Many research use wet-chemical oxidation or thermally grown which the highest conversion efficiencies have been reported so far. In this study, we deposit ultra-thin tunnel oxide layer by PECVD (Plasma Enhanced Chemical Vapor Deposition) using $N_2O$ plasma. Both side deposit tunnel oxide layer in different RF-power and phosphorus doped a-Si:H layer. After deposit, samples are annealed at $850^{\circ}C$ for 1 hour in $N_2$ gas atmosphere. After annealing, samples are measured lifetime and implied Voc (iVoc) by QSSPC (Quasi-Steady-State Photo Conductance). After measure, samples are annealed at $400^{\circ}C$ for 30 minute in $Ar/H_2$ gas atmosphere and then measure again lifetime and implied VOC. The lifetime is increase after all process also implied VOC. The highest results are lifetime $762{\mu}s$, implied Voc 733 mV at RF-power 200 W. The results of C-V measurement shows that Dit is increase when RF-power increase. Using this optimized tunnel oxide layer is attributed to increase iVoc. As a consequence, the cell efficiency is increased such as tunnel mechanism based solar cell application.

  • PDF

Characteristics of Plasma Polymer Thin Films for Low-dielectric Application

  • Cho, S.J.;Boo, J.H.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.08a
    • /
    • pp.124-124
    • /
    • 2011
  • This study investigated the interaction of varied plasma power with ultralow-k toluene-tetraethoxysilane (TEOS) hybrid plasma polymer thin films, as well as changing electrical and mechanical properties. The hybrid thin films were deposited on silicon(100) substrates by plasma enhanced chemical vapor deposition (PECVD) system. Toluene and tetraethoxysilane were utilized as organic and inorganic precursors. In order to compare the electrical and the mechanical properties, we grew the hybrid thin films under various conditions such as rf power of plasma, bubbling ratio of TEOS to toluene, and post annealing temperature. The hybrid plasma polymer thin films were characterized by Fourier transform infrared (FT-IR) spectroscopy, atomic force microscopy (AFM), nanoindenter, I-V curves, and capacitance. Also, the hybrid thin films were analyzed by using ellipsometry. The refractive indices varied with the RF power, the bubbling ratio of TEOS to toluene, and the annealing temperature. To analyze their trends of electrical and mechanical properties, the thin films were grown under conditions of various rf powers. The IR spectra showed them to have completely different chemical functionalities from the liquid toluene and TEOS precursors. Also, The SiO peak intensity increased with increasing TEOS bubbling ratio, and the -OH and the CO peak intensities decreased with increasing annealing temperature. The AFM images showed changing of surface roughness that depended on different deposition rf powers. An nanoindenter was used to measure the hardness and Young' modulus and showed that both these values increased as the deposition RF power increased; these values also changed with the bubbling ratio of TEOS to toluene and with the annealing temperature. From the field emission scanning electron microscopy (FE-SEM) results, the thickness of the thin films was determined before and after the annealing, with the thickness shrinkage (%) being measured by using SEM cross-sectional images.

  • PDF

Superhydrophobic nanostructured non-woven fabric using plasma modification

  • Shin, Bong-Su;Lee, Kwang-Reoul;Kim, Ho-Young;Moon, Myoung-Woon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.320-320
    • /
    • 2011
  • We describe fabrication of superhydrophobic surface on non-woven fabric (NWF) having nano-hairy structures and a hydrophobic surface coating. Oxygen plasma was irradiated on NWF for nano-texuring and a precursor of HMDSO (Hexamethydisiloxane) was introduced as a surface chemical modification for obtaining superhydrophobicity using 13.56 MHz radio frequency-Plasma Enhanced Chemical Vapor Deposition (rf-PECVD). O2 plasma treatment time was varied from 1 min to 60 min at a bias voltage of 400V, which fabricated pillar-like structures with diameter of 30 nm and height of 150 nm on NWF. Subsequently, hydrophobic coating using hexamethyldisiloxane vapor was deposited with 10 nm thickness on NWF substrate at a bias voltage of 400 V. We evaluate superhydrophobicity of the modified NWF with sessile drop using goniometer and high speed camera, in which aspect ratio of nanohairy structures, contact angle and contact angle hysteresis of the surfaces were measured. With the increase of aspect ratio, the wetting angle increased from $103^{\circ}$ to $163^{\circ}$, and the contact angle hysteresis decreased dramatically below $5^{\circ}$. In addition, we had conducted experiment for nucleation and condensation of water via E-SEM. During increasing vapor pressure inside E-SEM from 3.7 Torr to over 6 Torr which is beyond saturation point at $2^{\circ}C$, we observed condensation of water droplet on the superhydropobic NWF. While the condensation of water on oxygen plasma treated NWF (superhydrophilic) occurred easily and rapidly, superhydrophobic NWF which was fabricated by oxygen and HMDSO was hardly wet even under supersaturation condition. From the result of wetting experiment and water condensation via E-SEM, it is confirmed that superhydrophobic NWF shows the grate water repellent abilities.

  • PDF

Influence of Nitrogen Plasma Treatment on Low Temperature Deposited Silicon Nitride Thin Film for Flexible Display (플렉서블 디스플레이 적용을 위한 저온 실리콘 질화막의 N2 플라즈마 처리 영향)

  • Kim, Seongjong;Kim, Moonkeun;Kwon, Kwang-Ho;Kim, Jong-Kwan
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.27 no.1
    • /
    • pp.39-44
    • /
    • 2014
  • Silicon nitride thin film deposited with Plasma Enhanced Chemical Vapor Deposition was treated by a nitrogen plasma generated by Inductively Coupled Plasma at room temperature. The treatment was investigated by Fourier Transform Infrared Spectroscopy and Atomic Force Microscopy on the surface at various RF source powers at two RF bias powers. The amount of hydrogen was reduced and the surface roughness of the films was decreased remarkably after the plasma treatment. In order to understand the causes, we analyzed the plasma diagnostics by Optical Emission Spectroscopy and Double Langmuir Probe. Based on these analysis results, we show that the nitrogen plasma treatment was effective in the improving of the properties silicon nitride thin film for flexible display.

Effective Silicon Oxide Formation on Silica-on-Silicon Platforms for Optical Hybrid Integration

  • Kim, Tae-Hong;Sung, Hee-Kyung;Choi, Ji-Won;Yoon, Ki-Hyun
    • ETRI Journal
    • /
    • v.25 no.2
    • /
    • pp.73-80
    • /
    • 2003
  • This paper describes an effective method for forming silicon oxide on silica-on-silicon platforms, which results in excellent characteristics for hybrid integration. Among the many processes involved in fabricating silica-on-silicon platforms with planar lightwave circuits (PLCs), the process for forming silicon oxide on an etched silicon substrate is very important for obtaining transparent silica film because it determines the compatibility at the interface between the silicon and the silica film. To investigate the effects of the formation process of the silicon oxide on the characteristics of the silica PLC platform, we compared two silicon oxide formation processes: thermal oxidation and plasma-enhanced chemical vapor deposition (PECVD). Thermal oxidation in fabricating silica platforms generates defects and a cristobalite crystal phase, which results in deterioration of the optical waveguide characteristics. On the other hand, a silica platform with the silicon oxide layer deposited by PECVD has a transparent planar optical waveguide because the crystal growth of the silica has been suppressed. We confirm that the PECVD method is an effective process for silicon oxide formation for a silica platform with excellent characteristics.

  • PDF

Direct Bonding Characteristics of 2" 3C-SiC Wafers for Harsh Environment MEMS Applications (극한 환경 MEMS용 2" 3C-SiC기판의 직접접합 특성)

  • 정귀상
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.8
    • /
    • pp.700-704
    • /
    • 2003
  • This paper describes on characteristics of 2" 3C-SiC wafer bonding using PECVD (plasma enhanced chemical vapor deposition) oxide and HF (hydrofluoride acid) for SiCOI (SiC-on-Insulator) structures and MEMS (micro-electro-mechanical system) applications. In this work, insulator layers were formed on a heteroepitaxial 3C-SiC film grown on a Si (001) wafer by thermal wet oxidation and PECVD process, successively. The pre-bonding of two polished PECVD oxide layers made the surface activation in HF and bonded under applied pressure. The bonding characteristics were evaluated by the effect of HF concentration used in the surface treatment on the roughness of the oxide and pre-bonding strength. Hydrophilic character of the oxidized 3C-SiC film surface was investigated by ATR-FTIR (attenuated total reflection Fourier transformed infrared spectroscopy). The root-mean-square suface roughness of the oxidized SiC layers was measured by AFM (atomic force microscope). The strength of the bond was measured by tensile strength meter. The bonded interface was also analyzed by IR camera and SEM (scanning electron microscope), and there are no bubbles or cavities in the bonding interface. The bonding strength initially increases with increasing HF concentration and reaches the maximum value at 2.0 % and then decreases. These results indicate that the 3C-SiC wafer direct bonding technique will offers significant advantages in the harsh MEMS applications.ions.

The effect of Cr coated on the Ni and Inconel 601 substrate by PECVD on the oxidation behavior at high temperature (PECVD법으로 증착한 Cr코팅층이 Inconel 601과 Ni의 내산화성에 미치는 영향)

  • 강옥경;정명모;김길무
    • Journal of the Korean institute of surface engineering
    • /
    • v.28 no.3
    • /
    • pp.142-151
    • /
    • 1995
  • In this research, a thin layer of Cr was coated on the pure Ni and Inconel 601 by PECVD (Plasma Enhanced Chemical Vapor Deposition) in order to study the effect of Cr on the oxidation behavior at high temperature. Cr coated Inconel 601, which was oxidized at $1100^{\circ}C$ for 24 hours, formed a protective $Cr_2O_3$ oxide layer and the resistance to isothermai oxidation was improved. On the other hand, oxidation resistance of Cr coated Inconel 601 at 100$0^{\circ}C$ was not significantly improved, probably due to the formation or insufficient $Cr_2O_3$ layer. But, when oxidized at $1000^{\circ}C$ and $1100^{\circ}C$ for 100 hours, Cr coated Inconel 601 improved isothermal oxidation resistance by the formation of continuous $Cr_2O_3$ external scale and by the development of $Al_2O_3$ subscales. Cr coated Ni formed inner layer of $Cr_2O_3$ within almost pure NiO, which provided additional cation vacancies, thus increasing the mobility of Ni ions in this region. It is believed that this doping effect resulted in an increase in the observed oxidation rate compared with pure Ni and did not improve the oxidation resistance.

  • PDF

A Study on Characteristics of Microcrystalline-silicon Films Fabricated by PECVD Method (플라즈마 화학증착법으로 제작한 미세결정질 실리콘 박막 특성에 관한 연구)

  • Lee, Ho-Nyeon;Lee, Jong-Ha;Lee, Byoung-Wook;Kim, Chang-Kyo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.9
    • /
    • pp.848-852
    • /
    • 2008
  • Characteristics of microcrystalline-silicon thin-films deposited by plasma-enhanced chemical-vapor deposition (PECVD) method were studied. There were optimum values of RF power density and $H_2$ dilution ratio $(H_2/(SiH_4+H_2))$; maximum grain size of about 35 nm was obtained at substrate temperature of 250 $^{\circ}C$ with RF power density of 1.1 W/$cm^2$ and $H_2$ dilution ratio of 0.91. Larger grain was obtained with higher substrate temperature up to 350 $^{\circ}C$. Grain size dependence on RF power density and $H_2$ dilution ratio could be explained by etching effects of hydrogen ions and changes of species of reactive precursors on growing surface. Surface-mobility activation of reactive precursors by temperature could be a reason of grain-size dependence on the substrate temperature. Microcrystalline-silicon thin-films that could be used for flat-panel electronics such as active-matrix organic-light-emitting-diodes are expected to be fabricated successfully using these results.

Use of a Rapid Thermal Process Technique to study on the crystallization of amorphous Si films fabricated by PECVD (PECVD 방법으로 제조된 비정질 Si 박막의 RTP를 이용한 결정화 연구)

  • Sim, C.H.;Kim, H.N.;Kim, S.J.;Kim, J.W.;Kwon, J.Y.;Lee, H.Y.
    • Proceedings of the KIEE Conference
    • /
    • 2005.07c
    • /
    • pp.2052-2054
    • /
    • 2005
  • TFT-LCD requires to use poly silicon for High resolution and High integration. Thin film make of Poly silicon on the excimer laser-induced crystallization of PECVD(plasma-enhanced chemical vapor deposition)-grown amorphous silicon. In the thin film hydrogen affects to a device performance from bad elements like eruption, void and etc. So dehydrogenation prior to laser exposure was necessary. In this study, use RTP(Rapid Thermal Process) at various temperature from $670^{\circ}C$ to $750^{\circ}C$ and fabricate poly-silicon. it propose optimized RTP window to compare grain size to use poly silicon's SEM pictures and crystallization to analyze Raman curved lines.

  • PDF

태양전지 적용을 위한 PECVD 실리콘 질화막 증착 및 가스비 가변에 따른 효과

  • Gong, Dae-Yeong;Park, Seung-Man;Lee, Jun-Sin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.305-305
    • /
    • 2010
  • 태양전지의 개발이 본격화 되면서 태양전지 웨이퍼 표면에서의 재결합에 의한 손실을 줄이고 전면에서의 반사도를 감소시키기 위한 ARC (Anti-reflection Coating) layer에 대한 연구가 활발히 진행되고 있다. 이 중 대표적인 물질이 실리콘 질화막이 있다. 실리콘 질화막은 PECVD(plasma-enhanced chemical vapor deposition)법으로 저온에서 실리콘 기판 위에 증착 가능한 장점이 있다. 또한 실리콘 질화막의 광학적, 전기적인 특성은 $SiH_4:NH_3$의 화학적 조성비에 의해 결정되며 가스비 가변에 따라 균일도 및 굴절률 조절을 가능케 하여 태양전지의 효율을 향상시킬 수 있다. 본 연구에서는 태양전지의 표면 반사도 저감 및 효율 향상에 최적화된 실리콘 질화막을 형성하기 위해 PECVD를 이용하였고, 가스비 가변을 통해 굴절률을 조절하여 실리콘 질화막을 증착하고 이를 이용한 태양전지를 제작한 후 특성을 비교, 분석하였다. 실리콘 질화막 증착을 위해 압력, 온도, 파워를 1Torr, $450^{\circ}C$, 300W로 고정하고 가스비는 $SiH_4$를 45 sccm으로 고정한 후 $NH_3$의 양을 각각 30, 60, 90, 120 sccm으로 가변하였다. $SiH_4:NH_3$ 비율이 45:90일 때 박막의 passivation효과가 최대였으며 이 조건로 ARC를 형성한 태양전지는 77% 후반의 높은 FF(Fill Factor)와 17%의 광 변환 효율을 나타냈다.

  • PDF