• Title/Summary/Keyword: plasma patterning

Search Result 87, Processing Time 0.031 seconds

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 polyimide 박막의 패터닝 연구)

  • Kang, Pil-Seung;Kim, Chang-Il;Kim, Sang-Gi
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI mm Was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was $8300{\AA}/min$ and vertical profile was approximately acquired $90^{\circ}$ at $CF_{4}/(CF_{4}+O_{2})$ of 0.2. The selectivies of polyimide to PR and $SiO_{2}$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of $O_{2}/CF_{4}$ were investigated by optical emission spectrometer (OES).

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Raman Spectroscopy Studies of Graphene Nanoribbons and Chemical Doping in Graphene

  • Ryu, Sun-Min
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.15-15
    • /
    • 2011
  • Atom-thick graphene membrane and nano-sized graphene objects (NGOs) hold substantial potential for applications in future molecular-scale integrated electronics, transparent conducting membranes, nanocomposites, etc. To realize this potential, chemical properties of graphene need to be understood and diagnostic methods for various NGOs are also required. To meet these needs, chemical properties of graphene and optical diagnostics of graphene nanoribbons (GNRs) have been explored by Raman spectroscopy, AFM and STM scanning probes. The first part of the talk will illustrate the role of underlying silicon dioxide substrates and ambient gases in the ubiquitous hole doping of graphene. An STM study reveals that thermal annealing generates out-of-plane deformation of nanometer-scale wavelength and distortion in $sp^2$ bonding on an atomic scale. Graphene deformed by annealing is found to be chemically active enough to bind molecular oxygen, which leads to a strong hole-doping. The talk will also introduce Raman spectroscopy studies of GNRs which are known to have nonzero electronic bandgap due to confinement effect. GNRs of width ranging from 15 nm to 100 nm have been prepared by e-beam lithographic patterning of mechanically exfoliated graphene followed by oxygen plasma etching. Raman spectra of narrow GNRs can be characterized by upshifted G band and strong disorder-related D band originating from scattering at ribbon edges. Detailed analysis of the G, D, and 2D bands of GNRs proves that Raman spectroscopy is still a reliable tool in characterizing GNRs despite their nanometer width.

  • PDF

Fabrication of $Pb(Zr,Ti)O_3$ Thin Film Capacitors by Damascene Process (Damascene 공정을 이용한 $Pb(Zr,Ti)O_3$ 캐패시터 제조 연구)

  • Ko, Pil-Ju;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.105-106
    • /
    • 2006
  • The ferroelectric materials of the PZT, SBT attracted much attention for application to ferroelectric random access memory (FRAM) devices. Through the last decade, the lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for the ferroelectric products due to its higher remanant polarization and the ability to withstand higher coercive fields. FRAM has been currently receiving increasing attention for one of future memory devices due to its ideal memory properties such as non-volatility, high charge storage, and faster switching operations. In this study, we first applied the damascene process using chemical mechanical polishing (CMP) to the fabricate the $Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ thin film capacitor in order to solve the problems of plasma etching such as low etching profile and ion charging. The structural characteristics were compared with specimens before and after CMP process of PZT films. The scanning electron microscopy (SEM) analysis was performed to compare the morphology surface characteristics of $Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ capacitors. The densification by the vertical sidewall patterning and charging-free ferroelectric capacitor could be obtained by the damascene process without remarkable difference of the characteristics.

  • PDF

Polishing Characteristics of Pt Electrode Materials by Addition of Oxidizer (산화제 첨가에 따른 백금 전극 물질의 연마 특성)

  • Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1384-1385
    • /
    • 2006
  • Platinum is a candidate of top and bottom electrode in ferroelectric random access memory and dynamic random access memory. High dielectric materials and ferroelectric materials were generally patterned by plasma etching, however, the low etch rate and low etching profile were repoted. We proposed the damascene process of high dielectric materials and ferroelectric materials for patterning process through the chemical mechanical polishing process. At this time, platinum as a top electrode was used for the stopper for the end-point detection as Igarashi model. Therefore, the control of removal rate in platinum chemical mechanical polishing process was required. In this study, an addition of $H_{2}O_{2}$ oxidizer to alumina slurry could control the removal rate of platinum. The removal rate of platinum rapidly increased with an addition of 10wt% $H_{2}O_{2}$ oxidizer from 24.81nm/min to 113.59nm/min. Within-wafer non-uniformity of platinum after chemical mechanical polishing process was 9.93% with an addition of 5wt% $H_{2}O_{2}$ oxidizer.

  • PDF

Surface Properties of ACL Thin Films Depending on Process Conditions (공정 조건에 따른 비정질 탄소막 표면 물성분석)

  • Kim, Kwang Pyo;Choi, Jeong Eun;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.2
    • /
    • pp.44-47
    • /
    • 2019
  • Amorphous carbon layer (ACL) is actively used as an etch mask. Recent advances in patterning ACL requires the next level of durability of hard mask in high aspect ratio etch in near future semiconductor manufacturing, and it is worthwhile to know the surface property of ACL thin film to enhance the property of etch hard mask. In this research, ACL are deposited by 6 inch plasma enhanced chemical vapor deposition system with $C_3H_6$ and $N_2$ gas mixture. Surface properties of deposited ACL are investigated depending on gas flow, pressure, RF power. Fourier transform infrared is used for the analysis of surface chemistry, and X-ray photoemission spectra is used for the structural analysis with the consideration of the contents of $sp^2$ and $sp^3$ through fitting of C1s. Also mechanical properties of deposited ACL are measured in order to evaluate hardness.

Data Qualification of Optical Emission Spectroscopy Spectra in Resist/Nitride/Oxide Etch: Coupon vs. Whole Wafer Etching

  • Kang, Dong-Hyun;Pak, Soo-Kyung;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.433-433
    • /
    • 2012
  • As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.

  • PDF

Investigation of Structural and Optical Properties of III-Nitride LED grown on Patterned Substrate by MOCVD (Patterned substrate을 이용하여 MOCVD법으로 성장된 고효율 질화물 반도체의 광특성 및 구조 분석)

  • Kim, Sun-Woon;Kim, Je-Won
    • Korean Journal of Materials Research
    • /
    • v.15 no.10
    • /
    • pp.626-631
    • /
    • 2005
  • GaN-related compound semiconductors were grown on the corrugated interface substrate using a metalorganic chemical vapor deposition system to increase the optical power of white LEDs. The patterning of substrate for enhancing the extraction efficiency was processed using an inductively coupled plasma reactive ion etching system and the surface morphology of the etched sapphire wafer and that of the non-etched surface were investigated using an atomic force microscope. The structural and optical properties of GaN grown on the corrugated interface substrate were characterized by a high-resolution x-ray diffraction, transmission electron microscopy, atomic force microscope and photoluminescence. The roughness of the etched sapphire wafer was higher than that of the non-etched one. The surface of III-nitride films grown on the hemispherically patterned wafer showed the nano-sized pin-holes that were not grown partially. In this case, the leakage current of the LED chip at the reverse bias was abruptly increased. The reason is that the hemispherically patterned region doesn't have (0001) plane that is favor for GaN growth. The lateral growth of the GaN layer grown on (0001) plane located in between the patterns was enhanced by raising the growth temperature ana lowering the reactor pressure resulting in the smooth surface over the patterned region. The crystal quality of GaN on the patterned substrate was also similar with that of GaN on the conventional substrate and no defect was detected in the interface. The optical power of the LED on the patterned substrate was $14\%$ higher than that on the conventional substrate due to the increased extraction efficiency.

유도결합 $Cl_2/CHF_3, Cl_2/CH_4, Cl_2/Ar $플라즈마를 이용한 InGaN 건식 식각 반응 기구 연구

  • 이도행;김현수;염근영;이재원;김태일
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.249-249
    • /
    • 1999
  • GaN과 같은 III-nitride 반도체 관한 식각 기술의 연구는 blue-emitting laser diode(LD)를 위한 경면(mirror facet)의 형성뿐만아니라 새로운 display 용도의 light emitting diodes (LED), 고온에서 작동되는 광전소자 제조 등에도 그 중요성이 증대되고 있다. 최근에는 III-nitride 물질의 높은 식각속도와 미려하고 수직한 식각형상을 이루기 위하여 ECR(Electron Cyclotron Resonance)이나 ICP(Inductively Coupled Plasma)와 같은 고밀도 플라즈마 식각과 CAIBE(Chemically assisted ion beam etching)를 이용한 연구가 진행되고 있다. 현재 제조되어 지고 있는 LED 및 LD와 같은 광소자의 구조의 대부분은 p-GaN/AlGaN/InGaN(Q.W)/AlGaN/n-GaN 와 같은 여러 층의 형태로 이루어져 있다. 이중 InGaN는 광소자나 전자소자의 특성에 영향을 주는 가장 중요한 부분으로써 현재까지 보고된 식각연구는 undoped GaN에 대부분 집중되고 있고 이에 비해 소자 특성에 핵심을 이루는 InGaN의 식각특성에 관한 연구는 미흡한 상황이다. 본 연구에서는 고밀도 플라즈마원인 ICP 장비를 이용하여 InGaN를 식각하였고, 식각에는 Cl2/CH4, Cl2/Ar 플라즈마를 사용하였다. InGaN의 식각특성에 영향을 미치는 플라즈마의 특성을 관찰하기 위하여 quadrupole mass spectrometry(QMS)와 optical emission spectroscopy(PES)를 사용하였다. 기판 온도는 5$0^{\circ}C$, 공정 압력은 5,Torr에서 30mTorr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.

  • PDF

RIE에서 $C_3F_6$ 가스를 이용한 $Si_3N_4$ 식각공정 개발

  • Jeon, Seong-Chan;Gong, Dae-Yeong;Jeong, Dong-Geon;Choe, Ho-Yun;Kim, Bong-Hwan;Jo, Chan-Seop;Lee, Jong-Hyeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.328-329
    • /
    • 2012
  • $SF_6$ gas는 반도체 및 디스플레이 제조공정 중 Dry etch과정에서 널리 사용되는 gas로 자연적으로 존재하는 것이 아닌 사용 목적에 맞춰 인위적으로 제조된 gas이다. 디스플레이 산업에서 $SF_6$ gas가 사용되는 Dry etch 공정은 주로 ${\alpha}$-Si, $Si_3N_4$ 등 Si계열의 박막을 etch하는데 사용된다. 이러한 Si 계열의 박막을 식각하기 위해서는 fluorine, Chlorine 등이 사용된다. fluorine계열의 gas로는 $SF_6$ gas가 대표적이다. 하지만 $SF_6$ gas는 대표적인 온실가스로 지구 온난화의 주범으로 주목받고 있다. 세계적으로 온실가스의 규제에 대한 움직임이 활발하고, 대한민국은 2020년까지 온실가스 감축목표를 '배출전망치(BAU)대비 30% 감축으로' 발표하였다. 따라서 디스플레이 및 반도체 공정에는 GWP (Global warming Potential)에 적용 가능한 대체 가스의 연구가 필요한 상황이다. 온실가스인 $SF_6$를 대체하기 위한 방법으로 GWP가 낮은 $C_3F_6$가스를 이용하여 $Si_3N_4$를 Dry etching 방법인 RIE (Reactive Ion Etching)공정을 한 후 배출되는 가스를 측정하였다. 4인치 P-type 웨이퍼 위에 PECVD (Plasma Enhanced Chemical Vapor Deposition)장비를 이용하여 $Si_3N_4$를 200 nm 증착하였고, Photolithography공정을 통해 Patterning을 한 후 RIE공정을 수행하였다. RIE는 Power : 300 W, Flow rate : 30 sccm, Time : 15 min, Temperature : $15^{\circ}C$, Pressure : Open과 같은 조건으로 공정을 수행하였다. 그리고 SEM (Scanning Electron Microscope)장비를 이용하여 Etching된 단면을 관찰하여 단차를 확인하였다. 또한 Etching 전후 배출가스를 포집하여 GC-MS (Gas Chromatograph-Mass Spectrophotometry)를 측정 및 비교하였다. Etching 전의 경우에는 $N_2$, $O_2$ 등의 가스가 검출되었고, $C_3F_6$ 가스를 이용해 etching 한 후의 경우에는 $C_3F_6$ 계열의 가스가 검출되었다.

  • PDF