• Title/Summary/Keyword: plasma patterning

Search Result 87, Processing Time 0.036 seconds

Bioinspired superhydrophobic steel surfaces

  • Heo, Eun-Gyu;O, Gyu-Hwan;Lee, Gwang-Ryeol;Mun, Myeong-Un
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.509-509
    • /
    • 2011
  • Superhydrophobic surfaces on alloyed steels were fabricated with a non-conventional method of plasma etching and subsequent water immersion procedure. High aspect ratio nanopatterns of nanoflake or nano-needle were created on the steels with various Cr content in its composition. With CF4 plasma treatment in radio-frequence chemical vapor deposition (r.-f. CVD) method, steel surfaces were etched and fluorinated by CF4 plasma, which induced the nanopattern evolution through the water immersion process. It was found that fluorine ion played a role as a catalyst to form nanopatterns in water elucidated with XPS and TEM analysis. The hierarchical patterns in micro- and nano scale leads to superhydrophobic properties on the surfaces by deposition of a hydrophobic coating with a-C:H:Si:O film deposited with a gas precursor of hexamethlydisiloxane (HMDSO) with its lower surface energy of 24.2 mN/m, similar to that of curticular wax covering lotus surfaces. Since this method is based on plasma dry etching & coating, precise patterning of surface texturing would be potential on steel or metal surfaces. Patterned hydrophobic steel surfaces were demonstrated by mimicking the Robinia pseudoacacia or acacia leaf, on which water was collected from the humid air using a patterned hydrophobicity on the steels. It is expected that this facile, non-toxic and fast technique would accelerate the large-scale production of superhydrophobic engineering materials with industrial applications.

  • PDF

Patterning of the ITO Electrode of AC PDP using $Nd:YVO_4$ Laser

  • Kim, Kwang-Ho;Ahn, Min-Hyung;Kwon, Sang-Jik
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2007.08b
    • /
    • pp.1368-1371
    • /
    • 2007
  • Laser-ablated ITO patterns showed the formation of shoulders at the edge of the ITO lines and a ripple-like structure of the etched bottom. When the laser ablation was applied in the fabrication of PDP panel, the laser-ablated ITO patterns showed a higher sustaining voltage than that of chemically wet-etched ITO.

  • PDF

Behavior of Surface Compositions in CMP Process for PZT Thin Fims (PZT 박막의 CMP 공정중 표면 조성 거동)

  • Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1448-1449
    • /
    • 2006
  • Pb(Zr,Ti)$O_3$ is one of the most attractive ferroelectric materials for realizing the FeRAM due to its higher remanant polarization and the ability to withstand higher coercive fields. Generally, the ferroelectric materials were patterned by a plasma etching process for high-density FeRAM. The applicable possibility of CMP process to pattern Pb(Zr,Ti)$O_3$ instead of plasma etching process was investigated in our previous study for improvement of an angled sidewall which prevents the densification of ferroelectric memory and is apt to receive the plasma damage. Our previous study showed that good removal rate with the excellent surface roughness compared to plasma etching process were obtained by CMP process for the patterning of Pb(Zr,Ti)$O_3$. The suitable selectivity to TEOS without any damage to the structural property of Pb(Zr,Ti)$O_3$ was also guaranteed. In this study, the removal mechanism of $Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ coated by sol-gel method was investigated. Surface analysis of polished specimens at the best and worst conditions was carried out by XPS.

  • PDF

Development of Novel Electrode Materials for Plasma Display Panel

  • Kim, Chul-Hong;Chae, So-Ra;Lee, Min-Hee;Jeong, Hyun-Mi;Kim, Beom-Kwon;Heo, Eun-Gi;Choe, Deok-Hyeon;Lee, Byung-Hak
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.1437-1440
    • /
    • 2008
  • In this paper, we mainly deal with metallic electrode materials and patterning processing of plasma display panels. We focus on the recent development status, where low cost and high performance electrode materials such as Ag-based single-layered bus, low cost-in-use and anti-migration address electrodes are briefly introduced. The technological trends and further works on novel electrode materials and processing are also discussed.

  • PDF

Wavelet Characterization of Profile Uniformity Using Neural Network

  • Park, Won-Sun;Lim, Myo-Teak;Kim, Byungwhan
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2002.10a
    • /
    • pp.46.5-46
    • /
    • 2002
  • As device dimension shrinks down to sub 100nm, it is increasingly important to monitor plasma states. Plasma etching is a key means to fine patterning of thin films. Many parameters are involved in etching and each parameter has different impact on process performances, including etch rate and profile. The uniformity of etch responses should be maintained high to improve device yield and throughput. The uniformity can be measured on any etch response. The most difficulty arises when attempting to characterize etched profile. Conventionally, the profile has been estimated by measuring the slope or angle of etched pattern. One critical drawback in this measurement is that this is unable to cap...

  • PDF

Fabrication of ITO-less Sustain Electrodes for High Resolution Plasma Display Panel by X-Ray Lithographic Process

  • Ryu, Seung-Min;Yang, Dong-Yol;So, Jae-Yong;Park, Lee-Soon;Cheong, Hee-Woon;Whang, Ki-Woong
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.370-373
    • /
    • 2009
  • X-ray lithography was employed to fabricate ITO-less high resolution sustain electrodes for plasma display panel (PDP). A polyimide film based X-ray mask and Xray sensitive Ag electrode paste were fabricated to check their effect on the patterning of Ag electrodes with less than 30 ${\mu}m$ in width. The X-ray lithographic method was found to be useful for the high resolution sustain electrode patterns due to the high penetration power and low scattering property of X-ray source.

  • PDF

Dry Etching of patternedLiNbO3Waveguides for the High-speed Optical Modulator fabrication (초고속 광변조기 제작을 위한 LiNbO3도파로의 건식식각)

  • 양우석;김우경;이승태;박우정;장현수;윤대호;이한영
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.8
    • /
    • pp.731-735
    • /
    • 2003
  • Ti-indiffused LiNbO$_3$waveguide have been used to various high speed optical device based on electro-optic effect such as modulators, switches, and sensor, etc. In order to high speed modulation of optical modulator have, one of the further devices, needed to increasing of electrode surrounding air by LiNbO$_3$dry etching because of impedance matching for optical and RF phase velocity between waveguide and electrode. We studied property of LiNbO$_3$dry etching after waveguide patterning lot optical modulation by using neutral loop discharge (NLD) plasma.

Direct Patterning Technology of Indium Tin Oxide Layer using Nd:$YVO_4$ Laser Beam (Nd:$YVO_4$ 레이저 빔을 이용한 인듐 주석 산화물 직접 묘화 기술)

  • Kim, Kwang-Ho;Kwon, Sang-Jik
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.11
    • /
    • pp.8-12
    • /
    • 2008
  • For the reduction of fabrication cost and process time of AC plasma display panel (PDP), indium tin oxide (ITO) layer was patterned as bus electrode using Nd:$YVO_4$ laser. In comparison with the chemically wet etched ITO patterns, laser ablated ITO patterns showed the formation of shoulders and ripple-like structures at the edge of the ITO lines. For the reduction of shoulders and ripple-like structures, pulse repetition rate and scan velocity of laser was changed. In addition, we analyzed a discharge characteristic of PDP test panel to observe how the shoulders and ripple-like structures influence on the PDP. Based on experimental results, the pattern etched at the 500 mm/s and 40 kHz was better than any other condition. From this experiment we could see the possibility of the laser direct patterning for the application to the patterning of ITO in AC-PDP.

The UV Laser Ablation of Cr film on Glass Substrate (UV레이저를 이용한 Cr 박막의 어블레이션)

  • Yoon, Kyung-Ku;Lee, Seong-Kuk;Kim, Jae-Gu;Choi, Doo-Sun;Whang, Kyung-Hyun;Jung, Jae-Kyoung;Jang, Won-Suk;Na, Suck-Joo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.17 no.8
    • /
    • pp.134-139
    • /
    • 2000
  • In order to understand the removal mechanism and seek the optimal conditions. KrF excimer laser ablation of Cr films on glass substrates is investigated. The surface morphology of the laser-irradiated spot is examined by SEM. The measured single-shot ablation rate is found to be about two times the result of numerical analysis based on a surface vaporization model and heat conduction theory. Surface morphology examination indicates that the Cr film is removed by the sequence of melting-surface vaporization-,melt expulsion by plasma recoil and that the outmost ripple of the diffraction pattern gives a strong effect on the morphology of molten Cr during the melting and vaporization processes. To seek the optimal process parameters for micro patterning morphological investigation is carried out experimentally on samples having different chromium film thicknesses. Optimal processing conditions are determined to enhance the accuracy and quality of thin film removal for micro patterning.

  • PDF

Role of edge patterning and metal contact for extremely low contact resistance on graphene

  • Jo, Seo-Hyeon;Park, Hyung-Youl;Park, Jin-Hong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.294.2-294.2
    • /
    • 2016
  • Graphene, a sigle atomic layered structure of graphite, has drawn many scientific interests for attractive future electronics and optoelectronics beyond silicon-based technology because of its robust physical, optical, and electrical properties. But high metal-graphene contact resistance prevents the successful integration of high speed graphene devices and circuits, although pristine graphene is known to have a novel carrier transport property. Meanwhile, in the recently reported metal-graphene contact studies, there are many attempts to reduce the metal-graphene contact resistance, such as doping and one-dimensional edge contact. However, there is a lack of quantitative analysis of the edge contact scheme through variously designed patterns with different metal contact. We first investigate the effets of edge contact (metal-graphene interface) on the contact resistance in terms of edge pattern design through patterning (photolithography + plasma etching) and electral measurements. Where the contact resistance is determined using the transfer length method (TLM). Finally, we research the role of metal-kind (Palladium, Copper, and Tianium) on the contact resistance through the edge-contacted devices, eventually minimizing contact resistance down to approximately $23{\Omega}{\cdot}{\mu}m$ at room temperature (approximately $19{\Omega}{\cdot}{\mu}m$ at 100 K).

  • PDF