• 제목/요약/키워드: pattern sensitive faults

검색결과 12건 처리시간 0.022초

고집적 메모리에서 BLSFs(Bit-Line Sensitive Faults)를 위한 새로운 테스트 알고리즘 (A New Test Algorithm for Bit-Line Sensitive Faults in High-Density Memories)

  • 강동철;조상복
    • 전기전자학회논문지
    • /
    • 제5권1호
    • /
    • pp.43-51
    • /
    • 2001
  • 메모리의 집적도가 올라갈수록 원치 않는 셀간의 간섭과 동시에 bit-line간의 상호 노이즈도 증가하게 된다. 그리고 높은 고장 검출율을 요구하는 고집적 메모리의 테스트는 많은 테스트 백터를 요구하게 되거나 비교적 큰 추가 테스트 회로를 요구하게 된다. 지금까지 기존의 테스트 알고리즘은 이웃 bit-line의 간섭이 아니라 이웃 셀에 중점을 두었다. 본 논문에서는 NPSFs(Neighborhood Pattern Sensitive Faults)를 기본으로 한 NBLSFs(Neighborhood Bit-Line Sensitive Faults)를 위한 새로운 테스터 알고리즘을 제안한다. 그리고 제안된 알고리즘은 부가 회로를 요구하지 않는다. 메모리 테스트를 위해 기존의 5개의 셀 레이아웃이나 9개의 셀 레이아웃을 사용하지 않고 NBLSF 검출에 최소한 크기인 3개의 셀 레이아웃을 이용하였다. 더구나 이웃 bit-line에 의한 최대의 상호잡음을 고려하기 위해 테스트 동작에 refresh 동작을 추가하였다(예 $write{\rightarrow}\;refresh{\rightarrow}\;read$). 또한 고착고장, 천이고장, 결합고장, 기존의 pattern sensitive 고장, 그리고 이웃 bit-line sensitive 고장 등도 검출될 수 있음을 보여준다.

  • PDF

t-ws 고장 검출을 위한 테스트 방법의 개선 (Improvement of Test Method for t-ws Falult Detect)

  • 김철운;김영민;김태성
    • E2M - 전기 전자와 첨단 소재
    • /
    • 제10권4호
    • /
    • pp.349-354
    • /
    • 1997
  • This paper aims at studying the improvement of test method for t-weight sensitive fault (t-wsf) detect. The development of RAM fabrication technology results in not only the increase at device density on chips but also the decrease in line widths in VLSI. But, the chip size that was large and complex is shortened and simplified while the cost of chips remains at the present level, in many cases, even lowering. First of all, The testing patterns for RAM fault detect, which is apt to be complicated , need to be simplified. This new testing method made use of Local Lower Bound (L.L.B) which has the memory with the beginning pattern of 0(l) and the finishing pattern of 0(1). The proposed testing patterns can detect all of RAM faults which contain stuck-at faults, coupling faults. The number of operation is 6N at 1-weight sensitive fault, 9,5N at 2-weight sensitive fault, 7N at 3-weight sensitive fault, and 3N at 4-weight sensitive fault. This test techniques can reduce the number of test pattern in memory cells, saving much more time in test, This testing patterns can detect all static weight sensitive faults and pattern sensitive faults in RAM.

  • PDF

An Efficient Built-in Self-Test Algorithm for Neighborhood Pattern- and Bit-Line-Sensitive Faults in High-Density Memories

  • Kang, Dong-Chual;Park, Sung-Min;Cho, Sang-Bock
    • ETRI Journal
    • /
    • 제26권6호
    • /
    • pp.520-534
    • /
    • 2004
  • As the density of memories increases, unwanted interference between cells and the coupling noise between bit-lines become significant, requiring parallel testing. Testing high-density memories for a high degree of fault coverage requires either a relatively large number of test vectors or a significant amount of additional test circuitry. This paper proposes a new tiling method and an efficient built-in self-test (BIST) algorithm for neighborhood pattern-sensitive faults (NPSFs) and new neighborhood bit-line sensitive faults (NBLSFs). Instead of the conventional five-cell and nine-cell physical neighborhood layouts to test memory cells, a four-cell layout is utilized. This four-cell layout needs smaller test vectors, provides easier hardware implementation, and is more appropriate for both NPSFs and NBLSFs detection. A CMOS column decoder and the parallel comparator proposed by P. Mazumder are modified to implement the test procedure. Consequently, these reduce the number of transistors used for a BIST circuit. Also, we present algorithm properties such as the capability to detect stuck-at faults, transition faults, conventional pattern-sensitive faults, and neighborhood bit-line sensitive faults.

  • PDF

고집적 메모리를 위한 새로운 테스트 알고리즘 (A New Test Algorithm for High-Density Memories)

  • Kang, Dong-Chual;Cho, Sang-Bock
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2000년도 추계종합학술대회 논문집(2)
    • /
    • pp.59-62
    • /
    • 2000
  • As the density of memories increases, unwanted interference between cells and coupling noise between bit-lines are increased and testing high density memories for a high degree of fault coverage can require either a relatively large number of test vectors or a significant amount of additional test circuitry. From now on, conventional test algorithms have focused on faults between neighborhood cells, not neighborhood bit-lines. In this paper, a new algorithm for NPSFs, and neighborhood bit-line sensitive faults (NBLSFs) based on the NPSFs are proposed. Instead of the conventional five-cell and nine-cell physical neighborhood layouts to test memory cells, a three-cell layout which is minimum size for NBLSFs detection is used. To consider faults by maximum coupling noise by neighborhood bit-lines, we added refresh operation after write operation in the test procedure(i.e., write \longrightarrow refresh \longrightarrow read). Also, we present properties of the algorithm, such as its capability to detect stuck-at faults, transition faults, conventional pattern sensitive faults, and neighborhood bit-line sensitive faults.

  • PDF

NPSFs를 고려한 수정된 March 알고리즘 (Modified March Algorithm Considering NPSFs)

  • 김태형;윤수문;박성주
    • 대한전자공학회논문지SD
    • /
    • 제37권4호
    • /
    • pp.71-79
    • /
    • 2000
  • 기존의 March 알고리즘으로는 내장된 메모리의 CMOS ADOFs(Address Decoder Open Faults)를 점검할 수 없다. 번지 생성 순서 및 데이터 생성을 달리 할 수 있다는 자유도(DOF: Degree of Freedom)에 근거한 수정된 March 알고리즘이 제안되었다. 본 논문에서는 번지생성기로 완전 CA(Cellular Automata)를, 데이터 생성기로 Rl-LFSRs(Randomly Inversed LFSRs)을 사용하여 수정된 March 알고리즘을 개선하였다. 본 알고리즘은 기존의 March 알고리즘에서 점검할 수 있었던 SAF, ADF, CF, TF, 및 CMOS ADOF의 완점점검은 물론, NPSFs(Neighborhood Pattern Sensitive Faults)도 추가로 점검할 수 있으며, 알고리즘의 복잡도는 O(n)을 유지한다.

  • PDF

Content addressable memory의 이웃패턴감응고장 테스트를 위한 내장된 자체 테스트 기법 (Built-in self test for testing neighborhood pattern sensitive faults in content addressable memories)

  • 강용석;이종철;강성호
    • 전자공학회논문지C
    • /
    • 제35C권8호
    • /
    • pp.1-9
    • /
    • 1998
  • A new parallel test algorithm and a built-in self test (BIST) architecture are developed to test various types of functional faults efficiently in content addressable memories (CAMs). In test mode, the read oepratin is replaced by one parallel content addressable search operation and the writing operating is performed parallely with small peripheral circuit modificatins. The results whow that an efficient and practical testing with very low complexity and area overhead can be achieved.

  • PDF

A built-in structure for pseudorandom testing of RAM

  • Rao, Gururaj-K.;Kashiwagi, Hiroshi
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1989년도 한국자동제어학술회의논문집; Seoul, Korea; 27-28 Oct. 1989
    • /
    • pp.957-961
    • /
    • 1989
  • This paper deals with test-pattern generation and diagnoses of pattern-sensitive faults in RAM by use of simple pseudorandom M-sequences with an emphasis to built-in structure of these schemes. The problems that may arise during their implementation are discussed and an approach to builtin testing of RAM by such a scheme is given not bothering too much about the silicon area required.

  • PDF

RAM의 최소 테스트 패턴에 관한 연구 (A Study on the Minimal Test Pattern of the RAM)

  • 김철운;정우성;김태성
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1996년도 추계학술대회 논문집
    • /
    • pp.23-25
    • /
    • 1996
  • In this paper aims at studying the minimal test pattem of the RAM. This also propose a scheme of testing faults from the new fault model using the LLB. The length of test patterns are 6N(1-wsf), 9.5N(2-wsf), 7N(3-wsfl, 3N(4-wsf) operations in N-bit RAM. This test techniques can write into memory cell the number of write operations is reduced and then much testing time is saved. A test set which detects all positive-negative static t-ws faults for t=0, 1, 2, 3, 4 and detects all pattern sensitive fault in memory array. A new fault model, which encompasses the existing fault model Is proposed.

  • PDF

워드지향 메모리에 대한 동적 테스팅 (Dynamic Testing for Word - Oriented Memories)

  • 양성현
    • 한국컴퓨터산업학회논문지
    • /
    • 제6권2호
    • /
    • pp.295-304
    • /
    • 2005
  • 본 논문에서는 워드지향 메모리 내에서 셀 사이의 커플링 결함을 검출하기 위한 고갈 테스트 발생(exhaustive test generation) 문제를 연구하였다. 셀 사이의 거플링 결함 모델에 따르면 n 워드를 갖는 메모리 내에서 w-비트 메모리 내용 또는 내용의 변화는 메모리 내의 s-1 워드 내용에 따라 영향을 받는다. 이때 검사 패턴 구성을 위한 최적의 상호작용 방법을 제안 하였으며, 제안한 검사 결과의 체계적인 구조는 간단한 BIST로 구현하였다.

  • PDF

이웃 패턴 감응 고장을 위한 효과적인 메모리 테스트 알고리듬 (An Effective Memory Test Algorithm for Detecting NPSFs)

  • 서일석;강용석;강성호
    • 대한전자공학회논문지SD
    • /
    • 제39권11호
    • /
    • pp.44-52
    • /
    • 2002
  • 반도체 기술의 발달로 인하여 메모리가 고집적화 됨에 따라 테스트의 복잡도와 시간도 같이 늘어나게 되었다. 실제로 널리 쓰이는 메모리 테스트 방법인 March 알고리듬은 DRAM에서 발생되는 고장을 검출하기 위해 고안된 것이다. 그러나 DRAM의 집적도가 증가함으로 반드시 고려해야 하는 이웃 패턴 감응 고장을 기존의 March 알고리듬으로는 테스트할 수 없고 DRAM의 이웃 패턴 감응 고장을 테스트하기 위한 기존 알고리듬들은 메모리 셀의 개수를 n이라고 할 때 $O(N^2)$의 복잡도를 갖기 때문에 테스트 시간을 많이 소요하게 된다. 본 논문에서는 메모리 테스트에 많이 쓰이는 March 알고리듬을 확장하여 메모리의 이웃 패턴 감응 고장 검출율을 효과적으로 높일 수 있는 알고리듬을 제안하였다.