• Title/Summary/Keyword: path delay fault

Search Result 25, Processing Time 0.028 seconds

Efficient robust path delay fault test generation for combinational circuits using the testability measure (테스트 용이도를 이용한 조합회로의 효율적인 로보스트 경로 지연 고장 테스트 생성)

  • 허용민;임인칠
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.2
    • /
    • pp.205-216
    • /
    • 1996
  • In this paper we propose an efficient robust path delay fault test genration algorithm for detection of path delay faluts in combinational ligic circuits. In the proposed robust test genration approach, the testability measure is computed for all gates in the circuit under test and these computed values are used to genrate weighted random delay test vetors for detection of path delay faults. For genrated robust test vectors, we perform fault simulation on ISCAS '85 benchmark circuits using parallel pattern technqieus. The results indicate that the proposed test genration method not only increases the number of detected robust path delay faults but also reduces the time taen to genrate robust tests.

  • PDF

A Study on the Efficient Dynamic Memory Usage in the Path Delay Fault Simulation (經路遲延故障 시뮬레이션의 效率的인 動的 메모리 使用에 관한 硏究)

  • Kim, Kyu-Chull
    • The Transactions of the Korea Information Processing Society
    • /
    • v.5 no.11
    • /
    • pp.2989-2996
    • /
    • 1998
  • As the circuit density of VLSI grows and its performance improves, delay fault testing of VLSI becomes very important. Delay faults in a circuit can be categorized into two classes, gate delay faults and path delay faults. This paper proposed two methods in dynamic memory usage in the path delay fault simulation. The first method is similar to that used in concurrent fault simulation for stuck-at faults and the second method reduces dynamic memory usage by not inserting a fault descriptor into the fault list when its value is X. The second method, called Implicit-X method, showed superior performance in both dynamic memory usage and simulation time than the first method, called Concurrent-Simulation-Like method.

  • PDF

A Study on Speed Improvement of Gate Delay Test Generator for Combinational Circuits (조합회로에 대한 게이트 지연 검사 패턴 생성기의 속도 향상에 관한 연구)

  • 박승용;김규철
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.723-726
    • /
    • 1998
  • Fault dropping is a very important part of test generation process. It is used to reduce test generation time. Test generation systems use fault simulation for the purpose of fault dropping by identifying detectable faults with generated test patterns. Two kinds of delay fault model is used in practice, path delay fault model and gate delay fault model. In this paper we propose an efficient method for gate delay test generation which shares second test vector.

  • PDF

A High Speed Path Delay Fault Simulator for VLSI (고집적 회로에 대한 고속 경로지연 고장 시뮬레이터)

  • Im, Yong-Tae;Gang, Yong-Seok;Gang, Seong-Ho
    • The Transactions of the Korea Information Processing Society
    • /
    • v.4 no.1
    • /
    • pp.298-310
    • /
    • 1997
  • Most of the available delay fault simulators for scan environments rely on the use of enhanced scan flip-flops and exclusively consider circuits composed of only discrete gates. In this research, a new path delay fault simulation algorithm using new logic values is devised to enlarge the scope to the VLSI circuits which consist of CMOS elements. Based on the proposed algorithm, a high speed path delay fault simulator for standard scan environments is developed. The experimental results show the new simulator is efficient and accurate.

  • PDF

Fault Coverage Metric for Delay Fault Testing (지연 고장 테스팅에 대한 고장 검출율 메트릭)

  • Kim, Myeong-Gyun;Gang, Seong-Ho;Han, Chang-Ho;Min, Hyeong-Bok
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.4
    • /
    • pp.266-276
    • /
    • 2001
  • Due to the rapid development of semiconductor technology, the complexity of VLSI circuits has heavily increased. With the increased densities of integrated circuits, several different types of faults can occur Thus, testing such circuits is becoming a sever problem. Delay testing can detect system timing failures caused by delay faults. However, the conventional delay fault coverage in terms of the number of detected faults may not be an effective measure of delay testing because, unlike a stuck-at-faults, the impact of a delay fault is dependent on its delay defect size rather than on its existence. Thus, the effectiveness of delay testing is dependent on the propagation delay of the path to be tested, the delay defect size, and the system clock interval. This paper proposes a new delay defect fault coverage that considers both propagation delay of the path to be tested and additional delay defect size. And the relationship between delay defect fault coverage and defect level is analyzed.

  • PDF

The Impact of Delay Optimization on Delay fault Testing Quality

  • Park, Young-Ho;Park, Eun-Sei
    • Journal of Electrical Engineering and information Science
    • /
    • v.2 no.3
    • /
    • pp.14-21
    • /
    • 1997
  • In delay-optimized designs, timing failures due to manufacturing delay defects are more likely to occur because the average timing slacks of paths decrease and the system becomes more sensitive to smaller delay defect sizes. In this paper, the impact of delay optimized logic circuits on delay fault testing will be discussed and compared to the case for non-optimized designs. First, we provide a timing optimization procedure and show that the resultant density function of path delays is a delta function. Next we also discuss the impact of timing optimization on the yield of a manufacturing process and the defect level for delay faults. Finally, we will give some recommendations on the determination of the system clock time so that the delay-optimized design will have the same manufacturing yield as the non-optimized design and on the determination of delay fault coverage in the delay-optimized design in order to have the same defect-level for delay faults as the non-optimized design, while the system clock time is the same for both designs.

  • PDF

Robust Backup Path Selection in Overlay Routing with Bloom Filters

  • Zhou, Xiaolei;Guo, Deke;Chen, Tao;Luo, Xueshan
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.7 no.8
    • /
    • pp.1890-1910
    • /
    • 2013
  • Routing overlay offers an ideal methodology to improve the end-to-end communication performance by deriving a backup path for any node pair. This paper focuses on a challenging issue of selecting a proper backup path to bypass the failures on the default path with high probability for any node pair. For existing backup path selection approaches, our trace-driven evaluation results demonstrate that the backup and default paths for any node pair overlap with high probability and hence usually fail simultaneously. Consequently, such approaches fail to derive a robust backup path that can take over in the presence of failure on the default path. In this paper, we propose a three-phase RBPS approach to identify a proper and robust backup path. It utilizes the traceroute probing approach to obtain the fine-grained topology information, and systematically employs the grid quorum system and the Bloom filter to reduce the resulting communication overhead. Two criteria, delay and fault-tolerant ability on average, of the backup path are proposed to evaluate the performance of our RBPS approach. Extensive trace-driven evaluations show that the fault-tolerant ability of the backup path can be improved by about 60%, while the delay gain ratio concentrated at 14% after replacing existing approaches with ours. Consequently, our approach can derive a more robust and available backup path for any node pair than existing approaches. This is more important than finding a backup path with the lowest delay compared to the default path for any node pair.

A Fault-Tolerant QoS Routing Scheme based on Interference Awareness for Wireless Sensor Networks (무선 센서 네트워크를 위한 간섭 인지 기반의 결함 허용 QoS 라우팅 기법)

  • Kim, Hyun-Tae;Ra, In-Ho
    • Journal of the Korean Institute of Intelligent Systems
    • /
    • v.22 no.2
    • /
    • pp.148-153
    • /
    • 2012
  • In this paper, we propose a fault-tolerant QoS routing scheme based on interference awareness for providing both high throughput and minimum end-to-end delay for wireless sensor networks. With the proposed algorithm, it is feasible to find out the optimal transmission path between sensor nodes to the sink node by using cumulative path metric where real-time delivery, high energy efficiency and less interference are considered as in path selection. Finally, simulation results show that network throughput and delay can be improved by using the proposed routing scheme.

Path Delay Testing for Micropipeline Circuits (마이크로파이프라인 회로를 위한 지연 고장 테스트)

  • Kang, Yong-Seok;Huh, Kyung-Hoi;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.8
    • /
    • pp.72-84
    • /
    • 2001
  • The timings of all computational elements in the micropipeline circuits are important. The previous researches on path delay testing using scan methods make little account of the characteristic of the path delay tests that the second test pattern must be more controllable. In this paper, a new scan latch is proposed which is suitable to path delay testing of the micropipelines and has small area overhead. Results show that path delay faults in the micropipeline circuits using the new scan are testable robustly and the fault coverage is higher than the previous researches. In addition, the new scan latch for path delay faults testing in the micropipeline circuits can be easily expanded to the applications such as BIST for stuck-at faults.

  • PDF

Delay Test for Boundary-Scan based Architectures (경계면 스캔 기저 구조를 위한 지연시험)

  • 강병욱;안광선
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.31A no.6
    • /
    • pp.199-208
    • /
    • 1994
  • This paper proposes a delay fault test technique for ICs and PCBs with the boundary-scan architectures supporting ANSI/IEEE Std 1149.1-1990. The hybrid delay fault model, which comprises both of gate delay faults and path delay faults, is selected. We developed a procedure for testing delay faults in the circuits with typical boundary scan cells supporting the standard. Analyzing it,we concluded that it is impractical because the test clock must be 2.5 times faster than the system clock with the cell architect-ures following up the state transition of the TAP controller and test instruction set. We modified the boundary-scan cell and developed test instructions and the test procedure. The modified cell and the procedure need test clock two times slower than the system clock and support the ANSI/IEEE standard perfectly. A 4-bit ALU is selected for the circuits under test. and delay tests are simulated by the SILOS simulator. The simulation results ascertain the accurate operation and effectiveeness of the modified mechanism.

  • PDF