• Title/Summary/Keyword: over etch

Search Result 116, Processing Time 0.028 seconds

Selective dry etching of III-nitrides in inductively coupled plasmas

  • Hyun CHo;Jin Kon Kim;Stephen J. Pearton
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.11 no.3
    • /
    • pp.102-105
    • /
    • 2001
  • A parametric cmpariosn of etch rate and etch selectivity has been performed for GaN, InN and AIN etched in chlorine- and boron halides-based Inductively Coupled Plasma (ICP) discharges. Chlorine-based chemistries produced controllable etch rates (50~150 nm/min) and maximum etch selectivities ~6 for InN over GaN and ~10 for InN over AlN. Maximum etch selectivities of ~100 for InN over GaN and InN over AlN were obtained in boron halides-based discharges and smooth etched surface morphologies were also achieved.

  • PDF

GaN Etch Process System using Parallel Plasma Source for Micro LED Chip Fabrication (병렬 플라즈마 소스를 이용한 마이크로 LED 소자 제작용 GaN 식각 공정 시스템 개발)

  • Son, Boseong;Kong, Dae-Young;Lee, Young-Woong;Kim, Huijin;Park, Si-Hyun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.3
    • /
    • pp.32-38
    • /
    • 2021
  • We developed an inductively coupled plasma (ICP) etcher for GaN etching using a parallel plasma electrode source with a multifunctional chuck matched to it in order for the low power consumption and low process cost in comparison with the conventional ICP system with a helical-type plasma electrode source. The optimization process condition using it for the micro light-emitting diode (µ-LED) chip fabrication was established, which is an ICP RF power of 300 W, a chuck power of 200 W, a BCl3/Cl2 gas ratio of 3:2. Under this condition, the mesa structure with the etch depth over 1 ㎛ and the etch angle over 75° and also with no etching residue was obtained for the µ-LED chip. The developed ICP showed the improved values on the process pressure, the etch selectivity, the etch depth uniformity, the etch angle profile and the substrate temperature uniformity in comparison with the commercial ICP. The µ-LED chip fabricated using the developed ICP showed the similar or improved characteristics in the L-I-V measurements compared with the one fabricated using the conventional ICP method

Etching characteristics of Al-Nd alloy thin films using magnetized inductively coupled plasma

  • Lee, Y.J.;Han, H.R.;Yeom, G.Y.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 1999.10a
    • /
    • pp.56-56
    • /
    • 1999
  • For advanced TFT-LCD manufacturing processes, dry etching of thin-film layers(a-Si, $SiN_x$, SID & gate electrodes, ITO etc.) is increasingly preferred instead of conventional wet etching processes. To dry etch Al gate electrode which is advantageous for reducing propagation delay time of scan signals, high etch rate, slope angle control, and etch uniformity are required. For the Al gate electrode, some metals such as Ti and Nd are added in Al to prevent hillocks during post-annealing processes in addition to gaining low-resistivity($<10u{\Omega}{\cdot}cm$), high performance to heat tolerance and corrosion tolerance of Al thin films. In the case of AI-Nd alloy films, however, low etch rate and poor selectivity over photoresist are remained as a problem. In this study, to enhance the etch rates together with etch uniformity of AI-Nd alloys, magnetized inductively coupled plasma(MICP) have been used instead of conventional ICP and the effects of various magnets and processes conditions have been studied. MICP was consisted of fourteen pairs of permanent magnets arranged along the inside of chamber wall and also a Helmholtz type axial electromagnets was located outside the chamber. Gas combinations of $Cl_2,{\;}BCl_3$, and HBr were used with pressures between 5mTorr and 30mTorr, rf-bias voltages from -50Vto -200V, and inductive powers from 400W to 800W. In the case of $Cl_2/BCl_3$ plasma chemistry, the etch rate of AI-Nd films and etch selectivity over photoresist increased with $BCl_3$ rich etch chemistries for both with and without the magnets. The highest etch rate of $1,000{\AA}/min$, however, could be obtained with the magnets(both the multi-dipole magnets and the electromagnets). Under an optimized electromagnetic strength, etch uniformity of less than 5% also could be obtained under the above conditions.

  • PDF

Dry Etching of Polysilicon in Hbr/O2 Inductively Coupled Plasmas (Hbr/O2 유도결합 플라즈마를 이용한 폴리실리콘 건식식각)

  • 범성진;송오성;이혜영;김종준
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.1
    • /
    • pp.1-6
    • /
    • 2004
  • Dry etch characteristics of polysilicon with HBr/O$_2$ inductively coupled plasma (ICP) have been investigated. We determined etch late, uniformity, etch profiles, and selectivity with analyzing the cross-sectional scanning electron microscopy images obtained from top, center, bottom, right, and left positions. The etch rate of polysilicon was about 2500 $\AA$/min, which meets with the mass production for devices. The wafer level etch uniformity was within $\pm$5 %. Etch profile showed 90$^{\circ}$ slopes without notches. The selectivity over photoresist was between 2:1∼4.5:1, depending on $O_2$ flow rate. The HBr-ICP etching showed higher PR selectivity, and sharper profile than the conventional Cl$_2$-RIE.

Nitride/Oxide Etch Spectrum Data Verification by Using Optical Emission Spectroscopy (OES를 이용한 질화막/산화막의 식각 스펙트럼 데이터 분석)

  • Park, Soo-Kyoung;Kang, Dong-Hyun;Han, Seung-Soo;Hong, Sang-Jeen
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.5
    • /
    • pp.353-360
    • /
    • 2012
  • As semiconductor device technology continuously shrinks, low-open area etch process prevails in front-end etch process, such as contact etch as well as one cylindrical storage (OCS) etch. To eliminate over loaded wafer processing test, it is commonly performed to emply diced small coupons at stage of initiative process development. In nominal etch condition, etch responses of whole wafer test and coupon test may be regarded to provide similar results; however, optical emission spectroscopy (OES) which is frequently utilize to monitor etch chemistry inside the chamber cannot be regarded as the same, especially etch mask is not the same material with wafer chuck. In this experiment, we compared OES data acquired from two cases of etch experiments; one with coupon etch tests mounted on photoresist coated wafer and the other with coupons only on the chuck. We observed different behaviors of OES data from the two sets of experiment, and the analytical results showed that careful investigation should be taken place in OES study, especially in coupon size etch.

A study on the oxide etching using multi-dipole type magnetically enhanced inductively coupled plasmas (자장강화된 유도결합형 플라즈마를 이용한 산화막 식각에 대한 연구)

  • 안경준;김현수;우형철;유지범;염근영
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.4
    • /
    • pp.403-409
    • /
    • 1998
  • In this study, the effects of multi-dipole type of magnets on the characteristics of the inductively coupled plasmas and $SiO_2$ etch properties were investigated. As the magnets, 4 pairs of permanent magnets were used and, to etch $SiO_2, C_2F_6, CHF_3, C_4F_8, H_2$, and their combinations were used. The characteristics of the magnetized inductively coupled plasmas were investigated using a Langmuir probe and an optical emission spectrometer, and $SiO_2$ etch rates and the etch selectivity over photoresist were measured using a stylus profilometer. The use of multi-dipole magnets increased the uniformity of the ion density over the substrate location even though no significant increase of ion density was observed with the magnets. The use of the magnets also increased the electron temperature and radical densities while reducing the plasma potential. When $SiO_2$ was etched using the fluorocarbon gases, the significant increase of $SiO_2$ etch rates and also the increase of etch uniformity over the substrate were obtained using the magnets. In case of gas combinations with hydrogen, $C_4F_8/H_2$ showed the highest etch rates and etch selectivities over photoresist among the gas combinations with hydrogen used in the experiment. By optimizing process parameters at 1000 Watts of inductive power with the magnets, the highest $SiO_2$ etch rate of 8000 $\AA$/min could be obtained for 50% $C_4F_8/50% H_2$.

  • PDF

The Influence of He flow on the Si etching procedure using chlorine gas

  • Kim, J.W.;Park, J.H.;M.Y. Jung;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.65-65
    • /
    • 1999
  • Dry etching technique provides more easy controllability on the etch profile such as anisotropic etching than wet etching process and the results of lots of researches on the characterization of various plasmas or ion beams for semiconductor etching have been reported. Chlorine-based plasmas or chlorine ion beam have been often used to etch several semiconductor materials, in particular Si-based materials. We have studied the effect of He flow rate on the Si and SiO2 dry etching using chlorine-based plasma. Experiments were performed using reactive ion etching system. RF power was 300W. Cl2 gas flow rate was fixed at 58.6 sccm, and the He flow rate was varied from 0 to 120 sccm. Fig. 1 presents the etch depth of si layer versus the etching time at various He flow rate. In case of low He flow rate, the etch rate was measured to be negligible for both Si and SiO2. As the He flow increases over 30% of the total inlet gas flow, the plasma state becomes stable and the etch rate starts to increase. In high Ge flow rate (over 60%), the relation between the etch depth and the time was observed to be nearly linear. Fig. 2 presents the variation of the etch rate depending on the He flow rate. The etch rate increases linearly with He flow rate. The results of this preliminary study show that Cl2/He mixture plasma is good candidate for the controllable si dry etching.

  • PDF

F Ion-Assisted Effect on Dry Etching of GaAs over AlGaAs and InGaP (GaAs/AlGaAs와 GaAs/InGaP의 건식 식각 시 Flourine 이온의 효과)

  • Jang, Soo-Ouk;Park, Min-Young;Choi, Chung-Ki;Yoo, Seung-Ryul;Lee, Je-Won;Song, Han-Jung;Jeon, Min-Hyon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.164-165
    • /
    • 2005
  • The dry etch characteristics of GaAs over both AlGaAs and InGaP in planar inductively coupled $BCl_3$-based plasmas(ICP) with additions of $SF_6$ or $CF_4$ were studied. The additions of flourine gases provided enhanced etch selectivities of GaAs/AlGaAs and GaAs/InGaP. The etch stop reaction involving formation of involatile $AlF_3$ and $InF_3$ (boiling points of etch products: $AlF_3\sim1300^{\circ}C$, $InF_3$ > $1200^{\circ}C$ at atmosphere) were found to be effective under high density inductively coupled plasma condition. Decrease of etch rates of all materials was probably due to strong increase of flourine atoms in the discharge, which blocked the surface of the material against chlorine neutral adsorption. The process parameters were ICP source power (0 - 500 W), RF chuck power (0 - 30 W) and variable gas composition. The process results were characterized in terms of etch rate, selectivities of GaAs over AlGaAs and InGaP, surface morphology, surface roughness and residues after etching.

  • PDF

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

Etching Characteristics of Au Film using Capacitively Coupled CF4/Ar Plasma

  • Kim, Gwang-Beom;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.82
    • /
    • pp.1-4
    • /
    • 2007
  • In this paper, the etching of Au films using photoresist masks on Si substrates was investigated using a capacitively coupled plasma etch reactor. The advantages of plasma etch techniques over current methods for Au metalization include the ability to simplify the metalization process flow with respect to resist lift-off schemes, and the ability to cleanly remove etched material without sidewall redeposition, as is seen in ion milling. The etch properties were measured for different gas mixing ratios of CF4/Ar, and chamber pressures while the other conditions were fixed. According to statistical design of experiment (DOE), etching process of Au films was characterized and also 20 samples were fabricated followed by measuring etch rate, selectivity and etch profile. There is a chemical reaction between CF4 and Au. Au- F is hard to remove from the surface because of its high melting point. The etching products can be sputtered by Ar ion bombardment.