• Title/Summary/Keyword: low-power design

Search Result 3,542, Processing Time 0.034 seconds

Risk and Sensitivity Analysis during the Low Power and Shutdown Operation of the 1,500MW Advanced Power Reactor (1,500MW대형원전 정지/저출력 안전성향상을 위한 설계개선안 및 민감도 분석)

  • Moon, Ho Rim;Han, Deok Sung;Kim, Jae Kab;Lee, Sang Won;Lim, Hak Kyu
    • Transactions of the Korean Society of Pressure Vessels and Piping
    • /
    • v.15 no.1
    • /
    • pp.33-39
    • /
    • 2019
  • An 1,500MW advanced power reactor required the standard design approval by a Korean regulatory body in 2014. The reactor has been designed to have a 4-train independent safety concept and a passive auxiliary feedwater system (PAFS). The full power risk or core damage frequency (CDF) of 1,500MW advanced power reactor has been reduced more than that of APR1400. However, the risk during the low power and shutdown (LPSD) operation should be reduced because CDF of LPSD is about 4.7 times higher than that of internal full power. The purpose of paper is to analysis design alternatives to reduce risk during the LPSD. This paper suggests design alternatives to reduce risk and presents sensitivity analysis results.

A Study on the Design of the rated insulation voltage of 690V for the low-voltage switchgear and controlgear (저압기기 정격절연전압 690V 개발시 고려사항에 대한 연구)

  • Kim, Myoung-Seok;Kim, Jong-Yeok;Park, Sang-Yong
    • Proceedings of the KIEE Conference
    • /
    • 2000.07b
    • /
    • pp.961-963
    • /
    • 2000
  • Most of the application standard of the low-voltage devices have applied one the IEC standard another the UL standard. European union applied the IEC60947-1 standard has not exceed 1000V a.c. or 1500V d.c.. Therefore. it is necessary to the low-voltage device has expended for rated operational voltage with our products. The export of European market shall be made for the CE-Marking in accordance with IEC60947-1 ( Low-voltage switchgear and controlgear). We shall be considered for the requirement with the IEC standard. In this time to study for power supply system at EU ( European union. At that time for design and development in order to the construction and test method among the study for the rated insulation voltage at less then 690V.

  • PDF

Low-Power Bus Driven Floorplan for Segmented Bus Design (버스 분할 설계를 위한 저전력 버스 기반 평면계획)

  • Yoo, Jae-Min;Rim, Chong-Suk
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.43 no.10 s.352
    • /
    • pp.134-139
    • /
    • 2006
  • In this paper we present the Low-Power Bus Driven Floorplan(BDF) in which the bus power consumption is minimized by using a new cost function. The previously reported BDF has used the cost function which minimizes only the chid and the bus area. However, such a cost function may not consider the bus power consumption determined by the topology of a bus in case of the segmented bus design. In this paper, we formulate a new cost function which. reflects the communication frequency and the real distance between blocks in a bus to model the bus power consumption. For the Low-Power BDF with the new cost function, the experimental results show the bus power consumption cost is reduced by 11.43% on the average.

Low Power Neuromorphic Hardware Design and Implementation Based on Asynchronous Design Methodology (비동기 설계 방식기반의 저전력 뉴로모픽 하드웨어의 설계 및 구현)

  • Lee, Jin Kyung;Kim, Kyung Ki
    • Journal of Sensor Science and Technology
    • /
    • v.29 no.1
    • /
    • pp.68-73
    • /
    • 2020
  • This paper proposes an asynchronous circuit design methodology using a new Single Gate Sleep Convention Logic (SG-SCL) with advantages such as low area overhead, low power consumption compared with the conventional null convention logic (NCL) methodologies. The delay-insensitive NCL asynchronous circuits consist of dual-rail structures using {DATA0, DATA1, NULL} encoding which carry a significant area overhead by comparison with single-rail structures. The area overhead can lead to high power consumption. In this paper, the proposed single gate SCL deploys a power gating structure for a new {DATA, SLEEP} encoding to achieve low area overhead and low power consumption maintaining high performance during DATA cycle. In this paper, the proposed methodology has been evaluated by a liquid state machine (LSM) for pattern and digit recognition using FPGA and a 0.18 ㎛ CMOS technology with a supply voltage of 1.8 V. the LSM is a neural network (NN) algorithm similar to a spiking neural network (SNN). The experimental results show that the proposed SG-SCL LSM reduced power consumption by 10% compared to the conventional LSM.

Design of Low Voltage/Low Power High performance Barrel Shifter (저전압/저전력 고성능 배럴 쉬프터의 설계)

  • 조훈식;손일헌
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.1093-1096
    • /
    • 1998
  • The architecture and circuit design of low voltage, high performance barrel shifter is proposed in this paper. The proposed architecture consists of two arrays for byte and bit rotate/shift to perform 32-bit operation and is preferred for even bigger data length as it can be adapted for 64-bit extention with no increase of number of stages. NORA logic structure was used for circuit implementation to achieve the best performance in terms of speed, power and area. The complicated cloking control has been resolved with the ingenious design of clock dirver. The circuit simulation results in 3.05ns delay, 9.37㎽ power consumption at 1V, 160MHz operation when its implemented in low power $0.5\mu\textrm{m}$ CMOS technology.

  • PDF

Low-Load/Low-Eccentricity Performance Improvement Designs for Hydro Power Application of Cylindrical Turbine Guide Bearings - Introduction of Pad Leading-Edge Tapers (수력 원통형 터빈 가이드 베어링의 저부하/저편심 성능향상 설계 - 패드 선단 테이퍼의 도입)

  • Lee, An Sung;Jang, Sun-Yong
    • Tribology and Lubricants
    • /
    • v.33 no.2
    • /
    • pp.65-70
    • /
    • 2017
  • In vertical hydro/hydraulic power turbine-generator applications, traditionally, cylindrical turbine guide bearings (TGBs) are widely used to provide turbine runner shafts with smooth rotation guides and supports. All existing cylindrical TGBs with simple plain pads have drawbacks such as having no pressure generation and film stiffness at the no-load condition and in addition, at the low-load/low-eccentricity condition, having very low film stiffness values and lacking design credibility in the stiffness values themselves. In this paper, in order to fundamentally improve the low-load/low-eccentricity performance of conventional cylindrical TGBs and thus enhance their design-application availability and usefulness, we propose to introduce a rotation-directional leading-edge taper to each partitioned pad, i.e., a pad leading-edge taper. We perform a design analysis of lubrication performance on $4-Pad{\times}4-Row$ cylindrical TGBs to verify an engineering/technical usefulness of the proposed pad leading-edge taper. Analysis results show that by introducing the leading-edge taper to each pad of the cylindrical TGB one can expect a constant high average direct stiffness with a high degree of design credibility, regardless of load value, even at the low-load/low-eccentricity condition and also control the average direct stiffness value by exploring the taper height as a design parameter. Therefore, we conclude that the proposed pad leading-edge tapers are greatly effective in more accurately predicting and controlling rotordynamic characteristics of vertical hydro-power turbine-generator rotor-bearing systems to which cylindrical TGBs are applied.

Design for Landfill Gas Appliation by Low Calorific Gas Turbine and Green House Optimization Technology (Low Calorific Gasturbine 매립지 적용 및 유리온실 운용기술 설계)

  • Hur, Kwang-Beom;Park, Jung-Keuk;Lee, Jung-Bin;Rhim, Sang-Gyu
    • New & Renewable Energy
    • /
    • v.6 no.2
    • /
    • pp.27-32
    • /
    • 2010
  • Low Calorific Gas Turbine (LCGT) has been developed as a next generation power system using landfill gas (LFG) and biogas made from various organic wastes, food Waste, waste water and Livestock biogas. Low calorific fuel purification by pretreatment system and carbon dioxide fixation by green house system are very important design target for the optimum applications of LCGT. Main troubles of Low Calorific Gas Turbine system was derived from the impurities such as hydro sulfide, siloxane, water contained in biogas. Even if the quality of the bio fuel is not better than natural gas, LCGT may take low quality gas fuel and environmental friendly power system. The mechanical characterisitics of LCGT system is a high energy efficiency (>70%), wide range of output power (30 kW - 30 MW class) and very clean emission from power system (low NOx). A green house has been designed for four different carbon dioxide concentration from ambient air to 2000 ppm by utilizing the exhaust gas and hot water from LCGT system. LCGT is expected to contribute achieving the target of Renewable Portfolio Standards (RPS).

Design and Implementation of Low-Power DCT Architecture by Minimizing Switching Activity (스위칭 엑티비티를 최소화한 저전력 DCT 아키텍쳐 구현)

  • Kim San;Park Jong-Su;Lee Yong-Joo;Lee Yong-Surk
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.31 no.6C
    • /
    • pp.603-613
    • /
    • 2006
  • Low-power design is one of the most important challenges encountered in maximizing battery life in portable devices as well as saving energy during system operation. In this paper we propose a low-power DCT (Discrete Cosine Transform) architecture using a modified Computation Sharing Multiplication (CSHM). The overall rate of Power consumption is reduced during DCT: the proposed architecture does not perform arithmetic operations on unnecessary bits during the Computation Sharing Multiplication calculations. Experimental results show that it is possible to reduce power dissipation up to about $7\sim8%$ without compromising the final DCT results. The proposed low-power DCT architecture can be applied to consumer electronics as well as portable multimedia systems requiring high throughput and low-power.

Study of Optimal Design Parameter for Gearbox on Wind Power System (풍력발전시스템용 증속기의 최적화 설계요소에 관한 연구)

  • 이근호;성백주;최용혁
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.737-741
    • /
    • 2003
  • The wind power system is spotlighted as one of the no-pollution power generation systems. The system uses winds as power source that are rotated the blade and the rotating power from blade generate the electricity power. Gearbox needs to transfer the wind powers that have the high-torque-low-speed characteristics to generator that have the low-torque-high-speed characteristics. Because the wind power system generally locates the remote place like seaside or mountainside and the gearbox installs on the limited and high placed space, the gearbox of the wind power system is required the optimal space design and high reliability. In this paper, the structure of the gearbox is proposed to achieve the optimal space and efficiency by compounding the planetary gear train that has the high power density and parallel type gear train that has the long service life. The design parameters that are affected the service life are studied. The gear ratio and face width are investigated as an affected parameter for design sensitivity of service life.

  • PDF

A Low-Power Design and Implementation of the Portable Device for Measuring Temperature and Humidity Based On Power Consumption Modeling (소비 전력 모델링에 입각한 휴대용 온습도 측정기의 저전력 설계 및 구현)

  • Lee, Chul-Ho;Hong, Youn-Sik
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.15 no.2
    • /
    • pp.1027-1035
    • /
    • 2014
  • The most important design factor for portable devices is power consumption. In this paper, in the early design stage of a mobile device which measures temperature and humidity a power consumption model will be proposed and then the overall power consumption will be estimated based on this model. We will verify previously the correctness of such estimated power consumption before implementation of the real device. That is our proposed design methodology based on power consumption model. An improved design method for efficiently reducing the current consumption in the idle mode is also presented. By implementing a real prototype of the mobile device for measuring temperature and humidity, the correctness of our proposed design methodology based on power consumption modeling will be verified.