• Title/Summary/Keyword: gas mask

Search Result 121, Processing Time 0.037 seconds

Effect of Different Level of Monensin Supplemented with Cold Process Urea Molasses Mineral Block on In vitro Rumen Fermentation at Different Days of Adaptation with Monensin

  • De, Debasis;Singh, G.P.
    • Asian-Australasian Journal of Animal Sciences
    • /
    • v.18 no.3
    • /
    • pp.320-325
    • /
    • 2005
  • Effect of period of adaptation and levels of monensin were studied for microbial fermentation/ digestibility to find out the optimum period of adaptation of monensin in rumen and suitable level of monensin in wheat straw+concentrate and wheat straw+UMMB diet. The mean digestibility of dry matter was decreased upto T-3 treatment (49.17%), however, digestibility of DM was affected upto period (P-2). NDF digestibility was affected due to treatment under P1 and P2 (p<0.05). Average digestibility of ADF was increased to 53.33% at T-3 level of monensin and P4 days of adaptation. TVFA (mmole/100 ml) were decreased from 9.49 in T-1 to 7.70 in T-7. Periods were not effectives except P2 (14 days of adaptation). Similarly, total gas was decrease with the increase of monensin levels in diet. Although acetate percentage in TVFA was not affected either due to level of monensin or period of adaptation but propionate was increased due to increase in monensin at 21 days of adaptation (P-3). Butyrate (%) was decreased significantly in T-2 to T-6 as compared to T-1 group. Total gas was significantly (p<0.01) higher in group T-1 (control) and it reduced significantly in T-5, however, differences in gas production between group T-3, T-5 and T-7 at P-1 was not significant. Methane production was reduced on P-3 and P-4 level of adaptation due to treatment. The overall result indicated that 21 days of adaptation with monensin was sufficient to mask the inhibiting effect of monensin to cell wall digestibility and 35 ppm monensin is optimum to reduce methane production and increase propionate productions.

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • Kim, Jong-Gyu;Min, Gyeong-Seok;Kim, Chan-Gyu;Nam, Seok-U;Gang, Ho-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF

Etch Characteristics of MgO Thin Films in Cl2/Ar, CH3OH/Ar, and CH4/Ar Plasmas

  • Lee, Il Hoon;Lee, Tea Young;Chung, Chee Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.387-387
    • /
    • 2013
  • Currently, the flash memory and the dynamic random access memory (DRAM) have been used in a variety of applications. However, the downsizing of devices and the increasing density of recording medias are now in progress. So there are many demands for development of new semiconductor memory for next generation. Magnetic random access memory (MRAM) is one of the prospective semiconductor memories with excellent features including non-volatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM is composed of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack consists of various magnetic materials, metals, and a tunneling barrier layer. Recently, MgO thin films have attracted a great attention as the prominent candidates for a tunneling barrier layer in the MTJ stack instead of the conventional Al2O3 films, because it has low Gibbs energy, low dielectric constant and high tunneling magnetoresistance value. For the successful etching of high density MRAM, the etching characteristics of MgO thin films as a tunneling barrier layer should be developed. In this study, the etch characteristics of MgO thin films have been investigated in various gas mixes using an inductively coupled plasma reactive ion etching (ICPRIE). The Cl2/Ar, CH3OH/Ar, and CH4/Ar gas mix were employed to find an optimized etching gas for MgO thin film etching. TiN thin films were employed as a hard mask to increase the etch selectivity. The etch rates were obtained using surface profilometer and etch profiles were observed by using the field emission scanning electron microscopy (FESEM).

  • PDF

Application of Gaseous Ozone for Cleaning Biological Weapon Agent Contaminated Building (생물테러시 실내제독을 위한 효율적인 오존가스의 적용 방법)

  • Yoon, Je-Yong;Jeong, Woo-Dong;Mun, Sung-Min;Cho, Min
    • Journal of the Korea Institute of Military Science and Technology
    • /
    • v.11 no.2
    • /
    • pp.101-108
    • /
    • 2008
  • This study attempted to develop the technology by gaseous ozone for decontaminating building affected by a model of biological weapon agent(Bacillus subtilis spores) instead of Bacillus anthracis spore. The use of ozone is attractive method from a practical point of view of decontamination procedure since it has strong oxidation power but no residue after application. We examined the disinfection efficiency of gaseous ozone to Bacillus subtilis spores which suspension was sprayed on different material surfaces and dried. Three different types of gaseous ozone was applied : dry ozone, dry ozone with humidified air, and water bubbled wet ozone. Dry ozone(1500ppm) failed to achieve any significant inactivation for 2hrs. However, six log reduction of B. subtilis spore was achieved within 30min by 1500ppm of water bubbled wet ozone. This result shows the noticeable inactivation efficiency by gaseous ozone compared with previous studies. Good performance by wet ozone was also found for military material surface.(i.e. : gas mask hood, protective garments, army peinted metal surface).

Etching properties of sapphire substrate using $CH_4$/Ar inductively coupled plasma ($CH_4$/Ar 유도 결합 플라즈마를 이용한 Sapphire 기판의 식각 특성)

  • Um, Doo-Seung;Kim, Gwan-Ha;Kim, Dong-Pyo;Yang, Xue;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.102-102
    • /
    • 2008
  • Sapphire (${\alpha}-Al_2O_3$) has been used as the substrate of opto-electronic device because of characteristics of thermal stability, comparatively low cost, large diameter, optical transparency and chemical compatibility. However, there is difficulty in the etching and patterning due to the physical stability of sapphire and the selectivity with sapphire and mask materials [1,2]. Therefore, sapphire has been studied on the various fields and need to be studied, continuously. In this study, the etching properties of sapphire substrate were investigated with various $CH_4$/Ar gas combination, radio frequency (RF) power, DC-bias voltage and process pressure. The characteristics of the plasma were estimated for mechanism using optical emission spectroscopy (OES). The chemical compounds on the surface of sapphire substrate were investigated using energy dispersive X-ray (EDX). The chemical reaction on the surface of the etched sapphire substrate was observed by X-ray photoelectron spectroscopy (XPS). Scanning electron microscopy (SEM) was used to investigate the vertical and slope profiles.

  • PDF

Ablation of Cr Thin Film on Glass Using Ultrashort Pulse Laser (극초단펄스 레이저에 의한 크롬박막 미세가공)

  • 김재구;신보성;장원석;최지연;장정원
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.620-623
    • /
    • 2003
  • The material processing by using ultrashort pulse laser, in recently, is actively applying into the micro machining and nano-machining technology since ultrashort pulse has so faster than the time which the electrons energy absorbing photon energy is transmitted to surrounding lattice-phonon that it has many advantages in point of machining. The micro machining of metallic thin film on the plain glass is widely used in the fields such as mask repairing for semiconductor, fabrication of photonic crystal, MEMS devices and data storage devices. Therefore, it is important to secure the machining technology of the sub-micron size. In this research, we set up the machining system by using ultrashort pulse laser and conduct on the Cr 200nm thin film ablation experiments of spot and line with the variables such as energy, pulse number, speed, and so on. And we observed the characteristics of surrounding heat-affected zone and by-products appeared in critical energy density and higher energy density through SEM, and also examined the machining features between in He gas atmosphere which make pulse change minimized by nonlinear effect and in the air. Finally, the pit size of 0.8${\mu}{\textrm}{m}$ diameter and the line width of 1${\mu}{\textrm}{m}$ could be obtained.

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

A Study of Standard Head Model for Korean Adults by 3D Measurement (한국 성인의 3차원 표준 머리모형)

  • Kim Hye-Soo;Yi Kyong-Hwa;Park Se-Jin
    • Journal of the Korean Society of Clothing and Textiles
    • /
    • v.30 no.4 s.152
    • /
    • pp.542-553
    • /
    • 2006
  • The purpose of this study were to analyse of craniofacial shape by 3D and to develope of head models for Korean adults with gender and age groups. The 3D measurement technique adapted in this study was a novel approach compared that the same technique has been commonly used in measuring human bodies. The data and the model of head analysis can be used as a basic reference in developing various head related items such as hat, helmet, gas mask, ear phone, and etc. In this study, heads of 836 Korean adults were measured in 3D, analyzed by statistical methods, and modelized in 3D by gender and age groups. From the basic statistical data analysis, vertex-tragion and the length between the pupils were the longest in their twenties for both men and women, and grew shorter in elderly groups. In all categories, a significant difference appeared between men and women in their 20's, but the differences were less noticeable in elderly groups. Compared to the one size standard head model of the Korea Occupational Safety and Health Agency, the above three-dimensional standard head model would provide a more through fit because gender and age groups were sub-divided and analyzed in 3D.

Etching Characteristics of Polyctystalline 3C-SiC Thin Films by Magnetron Reactive Ion Etching (마그네트론 RIE를 이용한 다결정 3C-SiC의 식각 특성)

  • Ohn, Chang-Min;Kim, Gwiy-Yeal;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.331-332
    • /
    • 2007
  • Surface micromachined SiC devices have readily been fabricated from the polycrystalline (poly) 3C-SiC thin film which has an advantage of being deposited onto $SiO_2$ or $Si_3N_4$ as a sacrificial layer. Therefore, in this work, magnetron reactive ion etching process which can stably etch poly 3C-SiC thin films grown on $SiO_2$/Si substrate at a lower energy (70 W) with $CHF_3$ based gas mixtures has been studied. We have investigated the etching properties of the poly 3C-SiC thin film using PR/Al mask, according to $O_2$ flow rate, pressure, RF power, and electrode gap. The etched RMS (root mean square), etch rate, and etch profile of the poly 3C-SiC thin films were analyzed by SEM, AFM, and $\alpha$-step.

  • PDF