• 제목/요약/키워드: etcher

검색결과 103건 처리시간 0.034초

범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각 (The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher)

  • 조수범;박세근;오범환
    • 한국전기전자재료학회논문지
    • /
    • 제17권7호
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

Dry Etching of Ru Electrodes using O2/Cl2 Inductively Coupled Plasmas

  • Kim, Hyoun Woo
    • Corrosion Science and Technology
    • /
    • 제2권5호
    • /
    • pp.238-242
    • /
    • 2003
  • The characteristics of Ru etching using $O_2/Cl_2$ plasmas were investigated by employing inductively coupled plasma (ICP) etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with the gas flow ratio, bias power, total gas flow rate, and source power were scrutinized. A high etching slope (${\sim}86^{\circ}$) and a smooth surface after etching was attained using $O_2/Cl_2$ inductively coupled plasma.

축방향 자기장의 주기적 단속을 이용한 유도결합형 플라즈마 식각장비의 고품위 플라즈마 형성 (The generation of Uniform High Density Plasma of Inductively Coupled Plasma Etcher Enhanced by Alternating Axial Magnetic Field)

  • 정재성;김철식
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1998년도 추계종합학술대회 논문집
    • /
    • pp.589-592
    • /
    • 1998
  • The performance of inductively coupled plasma (ICP) is enhanced by axial magnetic field driven by alternating current Helmholtz coils in this work. Langmuir pobe is used to characterize the plasma, and the etching performance is demonstrated with phororesist stripping process. It is shown that its density and uniformity depends on the frequency of driving current to the magnetic field.

  • PDF

Silylation Photo resist 공정과 Enhanced-Inductively Coupled Plasma (E-ICP) (The Silylation Photo Resist Process and the Enhanced-Inductively Coupled Plasma (E-ICP))

  • 정재성;박세근;오범환
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 하계종합학술대회 논문집
    • /
    • pp.922-925
    • /
    • 1999
  • The Silylation photo-resist etch process was tested by Enhanced-ICP dry etcher. The comparison of the two process results of micro pattern etching with 0.25${\mu}{\textrm}{m}$ CD by E-ICP and ICP reveals that E-ICP has better quality than ICP The etch rate and the microloading effect was improved in E-ICP Especially, the problem of the lateral etch was improved in E-ICP.

  • PDF

A Study on the Plasma Etching of Ru Electrodes using $O_2/Cl_2$ Helicon Discharges

  • Kim, Hyoun-Woo;Hwang, Woon-Suk
    • Corrosion Science and Technology
    • /
    • 제2권4호
    • /
    • pp.189-193
    • /
    • 2003
  • The Ru etching using $O_2/C_{12}$ plasmas has been studied by employing the helicon etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with varied process variables were investigated. The Ru etching slope at the optimized etching condition was measured to be $84^{\circ}$. We reveal that the Ru etching using $O_2/C_{12}$ plasma generates the $RuO_2$ thin film. Possible mechanism of Ru etching is discussed.

자화된 평판형 유도 결합 플라즈마의 특성 및 건식 식각에의 응용 (The Characteristics of Magnetized Planar type Inductively Coupled Plasma and its Application to a Dry Etching Process)

  • 이수부;박헌건;이석현
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1997년도 하계학술대회 논문집 C
    • /
    • pp.1364-1366
    • /
    • 1997
  • Planar type magnetized inductively coupled plasma etcher has been built. The density and temperature of Ar plasma are measured as a function of rf power, external magnetic field, and pressure. The oxide etch rate and selectivity to polysilicon are measured as the above mentioned conditions and self-bias voltage.

  • PDF

Numerical Simulation: Effects of Gas Flow and Heat Transfer on Polymer Deposition in a Plasma Dry Etcher

  • Joo, Junghoon
    • Applied Science and Convergence Technology
    • /
    • 제26권6호
    • /
    • pp.184-188
    • /
    • 2017
  • Polymer deposition pattern on the ceramic lid surface is analyzed by numerical modeling. Assumption was made that is affected by gas flow pattern from the horizontal and vertical nozzles, temperature profile from the finger-like branches made of graphite and electrostatic potential effect. Calculated results showed gas flow dynamics is less relevant than two others. Temperature and electrostatic effects are likely determining the polymer deposition pattern based on our numerical simulation results.

Capacitively Coupled Plasma Source를 이용한 Etcher의 상부 전극 온도 변화에 따른 Etch 특성 변화 개선 (Improvement of Repeatability during Dielectric Etching by Controlling Upper Electrode Temperature)

  • 신한수;노용한;이내응
    • 한국진공학회지
    • /
    • 제20권5호
    • /
    • pp.322-326
    • /
    • 2011
  • 상부 전극에 RF power 가 직접 인가되는 capacitively coupled plasma source를 이용한 oxide layer etching 공정은 현재 반도체 제조 공정에서 매우 유용하게 사용되고 있는 방식이다. 그러나 디바이스의 사이즈가 점점 작아지면서 공정을 진행하기 위한 RF power도 커지고, plasma ignition 되는 electrode 사이의 간격도 점점 좁아지는 기술적 변화가 이루어지고 있다. 이러한 H/W의 변화에 따라 예상치 못한 문제들로 공정을 적용하는데 많은 문제점이 발생하고 있는데, 공정 진행 시에 plasma의 영향으로 인한 electrode의 온도 변화도 그 중 하나이다. 이러한 온도 변화로 인해 wafer to wafer의 공정 진행 결과가 서로 다르게 나타나게 하는 문제가 야기되고 있다. 아래의 내용에서는 상부 electrode의 온도 변화에 따른 etch 특성을 연구하고, 이를 개선할 수 있는 방법에 대해 논하고자 한다.

Mechanism Study of Flowable Oxide Process for Sur-100nm Shallow Trench Isolation

  • Kim, Dae-Kyoung;Jang, Hae-Gyu;Lee, Hun;In, Ki-Chul;Choi, Doo-Hwan;Chae, Hee-Yeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.68-68
    • /
    • 2011
  • As feature size is smaller, new technology are needed in semiconductor factory such as gap-fill technology for sub 100nm, development of ALD equipment for Cu barrier/seed, oxide trench etcher technology for 25 nm and beyond, development of high throughput Cu CMP equipment for 30nm and development of poly etcher for 25 nm and so on. We are focus on gap-fill technology for sub-30nm. There are many problems, which are leaning, over-hang, void, micro-pore, delaminate, thickness limitation, squeeze-in, squeeze-out and thinning phenomenon in sub-30 nm gap fill. New gap-fill processes, which are viscous oxide-SOD (spin on dielectric), O3-TEOS, NF3 Based HDP and Flowable oxide have been attempting to overcome these problems. Some groups investigated SOD process. Because gap-fill performance of SOD is best and process parameter is simple. Nevertheless these advantages, SOD processes have some problems. First, material cost is high. Second, density of SOD is too low. Therefore annealing and curing process certainly necessary to get hard density film. On the other hand, film density by Flowable oxide process is higher than film density by SOD process. Therefore, we are focus on Flowable oxide. In this work, dielectric film were deposited by PECVD with TSA(Trisilylamine - N(SiH3)3) and NH3. To get flow-ability, the effect of plasma treatment was investigated as function of O2 plasma power. QMS (quadruple mass spectrometry) and FTIR was used to analysis mechanism. Gap-filling performance and flow ability was confirmed by various patterns.

  • PDF

Pulse Inductively Coupled Plasma를 이용한 Through Silicon Via (TSV) 형성 연구

  • 이승환;임영대;유원종;정오진;김상철;이한춘
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2008년도 추계학술대회 초록집
    • /
    • pp.18-18
    • /
    • 2008
  • 3차원 패키징 System In Package (SIP)구조에서 Chip to Chip 단위 Interconnection 역할을 하는 Through Silicon Via(TSV)를 형성하기 위하여 Pulsating RF bias가 장착된 Inductively Coupled Plasma Etcher 장비를 이용하였다. 이 Pulsating 플라즈마 공정 방법은 주기적인 펄스($50{\sim}500Hz$)와 듀티($20{\sim}99%$) cycle 조절이 가능하며, 플라즈마 에칭특성에 영향을 주는 플라즈마즈마 발생 On/Off타임을 조절할 수 있다. 예를 들면, 플라즈마 발생 Off일 경우에는 이온(SFx+, O+)과 래디컬(SF*, F*, O*)의 농도 및 활성도를 급격하게 줄이는 효과를 얻을 수가 있는데, 이러한 효과는 식각 에칭시, 이온폭격의 손상을 급격하게 줄일 수 있으며, 실리콘 표면과 래디컬의 화학적 반응을 조절하여 에칭 측벽 식각 보호막 (SiOxFy : Silicon- Oxy- Fluoride)을 형성하는데 영향을 미친다. 그리고, TSV 형성에 있어서 큰 문제점으로 지적되고 있는 언더컷과 수평에칭 (Horizontal etching)을 개선하기 위한 방법으로, Black-Siphenomenon을 이번 실험에 적용하였다. 이 Black-Si phenomenon은 Bare Si샘플을 이용하여, 언더컷(Undercut) 및 수평 에칭 (Horizontal etching)이 최소화 되는 공정 조건을 간편하게 평가 할 수 있는 방법으로써, 에칭 조건 및 비율을 최적화하는 데 효율적이었다. 결과적으로, Pulsating RF bias가 장착된 Inductively Coupled Plasma Etcher 장비를 이용한 에칭실험은 펄스 주파수($50{\sim}500Hz$)와 듀티($20{\sim}99%$) cycle 조절이 가능하여, 이온(SFx+, O+)과 래디컬(SF*, F*, O*)의 농도와 활성화를 조절 하는데 효과적이었으며, Through Silicon Via (TSV)를 형성 하는데 있어서 Black-Si phenomenon 적용은 기존의 Continuous 플라즈마 식각 결과보다 향상된 에칭 조건 및 에칭 프로파일 결과를 얻는데 효과적이었다.

  • PDF