• Title/Summary/Keyword: emission mask

Search Result 70, Processing Time 0.021 seconds

An Adaptive IIR Pre-equalizer for Terrestrial DTV Transmitters (지상파 DTV 송신기를 위한 적응 IIR 전치등화기)

  • Kim Hyoung-Nam;Kim Wan-Jin;Kwon Dae-Ken
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.31 no.3A
    • /
    • pp.328-336
    • /
    • 2006
  • A novel pre-equalization method for terrestrial DTV transmitters is presented. A pre-equalizer has been used in transmitters to correct group delay and amplitude distortions caused by a channel filter. In the proposed pre-equalizer, an equation-error adaptive IIR filtering scheme is adopted unlike the conventional pre-equalization using FIR filtering schemes. The pole-zero modelling property of IIR filters improves the signal-to-noise ratio and may deal with diverse linear distortions existing in DTV transmitters as well as the channel filter distortion. Simulation results show that the proposed IIR pre-equalizer performs better than the FIR pre-equalizer in terms of the residual mean-square error.

CoFeB과 IrMn 자성 박막의 고밀도 반응성 이온 식각

  • Kim, Eun-Ho;So, U-Bin;Gong, Seon-Mi;Jeong, Yong-U;Jeong, Ji-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.232-232
    • /
    • 2010
  • 정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/$O_2$/Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF

Interleukin-6-producing paraganglioma as a rare cause of systemic inflammatory response syndrome: a case report

  • Yin Young Lee;Seung Min Chung
    • Journal of Yeungnam Medical Science
    • /
    • v.40 no.4
    • /
    • pp.435-441
    • /
    • 2023
  • Pheochromocytomas and paragangliomas (PPGLs) may secrete hormones or bioactive neuropeptides such as interleukin-6 (IL-6), which can mask the clinical manifestations of catecholamine hypersecretion. We report the case of a patient with delayed diagnosis of paraganglioma due to the development of IL-6-mediated systemic inflammatory response syndrome (SIRS). A 58-year-old woman presented with dyspnea and flank pain accompanied by SIRS and acute cardiac, kidney, and liver injuries. A left paravertebral mass was incidentally observed on abdominal computed tomography (CT). Biochemical tests revealed increased 24-hour urinary metanephrine (2.12 mg/day), plasma norepinephrine (1,588 pg/mL), plasma normetanephrine (2.27 nmol/L), and IL-6 (16.5 pg/mL) levels. 18F-fluorodeoxyglucose (FDG) positron emission tomography/CT showed increased uptake of FDG in the left paravertebral mass without metastases. The patient was finally diagnosed with functional paraganglioma crisis. The precipitating factor was unclear, but phendimetrazine tartrate, a norepinephrine-dopamine release drug that the patient regularly took, might have stimulated the paraganglioma. The patient's body temperature and blood pressure were well controlled after alpha-blocker administration, and the retroperitoneal mass was surgically resected successfully. After surgery, the patient's inflammatory, cardiac, renal, and hepatic biomarkers and catecholamine levels improved. In conclusion, our report emphasizes the importance of IL-6-producing PPGLs in the differential diagnosis of SIRS.

Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications (전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.99-101
    • /
    • 2000
  • The fabrication of the submicron size hole has been interesting due to the potential application of the near field optical sensor or liquid metal ion source. The 2 micron size dot array was photolithographically patterned. After formation of the V-groove shape by anisotropic KOH etching, dry oxidation at $1000^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have an etch-mask for dry etching. The reactive ion etching by the inductively coupled plasma (ICP) system was performed in order to etch ~90 nm $SiO_2$ layer at the bottom of the V-groove and to etch the Si at the bottom. The negative ion energy would enhance the anisotropic etching by the $Cl_2$ gas. After etching, the remaining thickness of the oxide on the Si(111) surface was measured to be ~130 nm by scanning electron microscopy. The etched Si aperture can be used for NSOM sensor.

  • PDF

Fabrication of patterned substrate by wet process for biochip (습식 공정법에 의한 바이오칩 용 패터닝 기판 제조)

  • Kim, Jin-Ho;Lee, Min;Hwang, Jong-Hee;Lim, Tae-Young;Kim, Sae-Hoon
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.19 no.6
    • /
    • pp.288-292
    • /
    • 2009
  • Hydrophobic/hydrophilic patterned substrates were fabricated on a glass substrate by a liquid phase deposition (LPD) method. Hydrophobic surface was obtained by modifying ZnO thin films with a rough surface using a fluoroalkyltrimethoxysilane (FAS) and hydrophilic surface was prepared by decomposing FAS on an exposed to UV light. The hexagonal ZnO rods were perpendicularly grown by LPD method on glass substrates with a ZnO seed layer. The diameter and thickness of hexagonal ZnO rods were increased as a function of increases of immersion time. The surface morphology, thickness, crystal structure, transmittance and contact angle of prepared ZnO thin films were measured by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectrophotometer (UV-vis) and contact angle measurement. Hydrophilic ZnO thin films with a contact angle of $20^{\circ}{\sim}30^{\circ}$ were changed to a hydrophobic surface with a contact angle of $145^{\circ}{\sim}161^{\circ}$ by a FAS surface treatment. Prepared hydrophobic surface was pattered by an irradiation of UV light using shadow mask with $300\;{\mu}m$ or 3 mm dot size. Finally, the hydrophobic surface exposed to UV light was changed to a hydrophilic surface.

A Design and Implementation of Digital Ultra-Narrowband Walky-Talky Using Direct Conversion Method (직접 변환 방식을 이용한 디지털 초협대역 무전기 설계 및 구현)

  • Chong Young-Jun;Kang Min-Soo;Yoo Sung-Jin;Chung Tae-Jin;Oh Seung-Hyeub
    • The Journal of Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.16 no.6 s.97
    • /
    • pp.603-614
    • /
    • 2005
  • In this paper, digital ultra-narrowband Walky-Talky using direct conversion method for CQPSK modulation scheme is implemented with satisfying the requirements of APCO P25. RF transceiver design and implementation scheme that minimize the influence of DC-offset and AC-coupling at ultra-narrowband is proposed. This scheme also minimizes the influence of nonlinear characteristic at power amplifier fir CQPSK modulation method. Test results of full system including DSP module and direct conversion RF transceiver show that FCC emission mask at 36.8 dBm PEP meets the standard requirements. The characteristic of receiver AGC by PWM control signal is linear at 40 dB dynamic range and voice communication at input power level of -116 dBm is successful. Also it is verified that the performance of BER versus frequency offset and versus SNR meets the standard requirements.

Design of Cartesian Feedback Loop Linearization Chip for UHF Band (UHF 대역용 Cartesian Feedback Loop 선형화 칩 설계)

  • Kang, Min-Soo;Chong, Young-Jun;Oh, Seung-Hyeub
    • The Journal of Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.21 no.5
    • /
    • pp.510-518
    • /
    • 2010
  • In this paper, the designed and implemented results of CFL linearization chip which can be used in mobile radio and TRS terminal of UHF band(380~910 MHz), using $0.6\;{\mu}m$ BiCMOS process based on Si, are shown. As gain control circuits for modifying transmit power are inserted not only in feedback path but also in forward path, the stability of CFL is maintained. And, DC-offset correction function of S/H structure, which is suitable for walkie-talkie PTT operation and is easily implemented, is realized. The performance test results of transmitter show that the regulation of FCC emission mask at PEP 3 W(34.8 dBm) is satisfied when the CQPSK modulated signal is fed and more than 30 dBc improvement of 3rd order IMD is achieved when two-tone signal is inputted.

Interference Analysis of the European Digital Terrestrial Broadcasting Service and the Personal/Portable TVBD based on Spectrum Cognition (유럽형 디지털 지상파 방송 서비스와 스펙트럼 인지 기반 개인/휴대형 TVBD와의 간섭분석)

  • Choi, Joo-Pyoung;Chang, Hyung-Min;Lee, Won-Cheol
    • Journal of Satellite, Information and Communications
    • /
    • v.7 no.3
    • /
    • pp.1-7
    • /
    • 2012
  • In this paper, we was performed the interference analysis to determine an optimal coexisting criteria for the european digital video broadcasting service (DVB-T2) and the IMT-advanced LTE based TV Band Device (TVBD). The TVBD was equipped with the spectrum cognition method. To this end, we set the various transmission parameters, that includes the emission and blocking mask, antenna height and gain, transmission power and bandwidth, channel model etc. Based on this parameters, we were calculated the allowable transmit power, the number of TVBD and the change in probability of interference for the TVBD user operating in the adjacent channels of the DVB-T2 user. Also this paper presents how many TVBD users can sharing with DVB-T2 for spectral cognition performance.

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF