• Title/Summary/Keyword: dynamic voltage scaling technique

Search Result 34, Processing Time 0.025 seconds

Low Power Optimization of MPEG-2 AAC with Microscopic Dynamic Voltage Scaling(DVS) (Microscopic Dynamic Voltage Scaling(DVS) 기반 저전력 MPEG-2 AAC 알고리즘 최적화 구현에 관한 연구)

  • Lee, Eun-Seo;Lee, Jae-Sik;Chang, Tae-Gyu
    • Proceedings of the KIEE Conference
    • /
    • 2006.10c
    • /
    • pp.428-430
    • /
    • 2006
  • This paper proposes a new means of performance optimization for multimedia algorithm utilizing the Microscopic DVS (Dynamic Voltage Scaling). The Microscopic DVS technique controls the operating frequency and the supply voltage levels dynamically according to the processing requirement for each frame of multimedia data. The huffman decoding algorithm of MPEG-2 AAC audio decoder is optimized to maximize the power saving efficiency of Microscopic DVS technique. The experimental results show the reduction of computational complexity by more than 30% and the reduction of power consumption by more than 17% compared with those of the conventionally fast method.

  • PDF

Low Power Optimization of MPEG-2 AAC with Microscopic Dynamic Voltage Scaling(DVS) (Microscopic Dynamic Voltage Scaling(DVS) 기반 저전력 MPEG-2 AAC 알고리즘 최적화 구현에 관한 연구)

  • Lee, Eun-Seo;Lee, Jae-Sik;Chang, Tae-Gyu
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.55 no.12
    • /
    • pp.544-546
    • /
    • 2006
  • This paper proposes a new means of performance optimization for multimedia algorithm utilizing the Microscopic DVS (Dynamic Voltage Scaling). The Microscopic DVS technique controls the operating frequency and the supply voltage levels dynamically according to the processing requirement for each frame of multimedia data. The huffman decoding algorithm of MPEG-2 AAC audio decoder is optimized to maximize the power saving efficiency of Microscopic DVS technique. The experimental results show the reduction of computational complexity by more than 30% and the reduction of power consumption by more than 17% compared with those of the conventionally fast method.

An Efficient Scheduling Method based on Dynamic Voltage Scaling for Multiprocessor System (멀티프로세서 시스템을 위한 동적 전압 조절 기반의 효율적인 스케줄링 기법)

  • Noh, Kyung-Woo;Park, Chang-Woo;Kim, Seok-Yoon
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.57 no.3
    • /
    • pp.421-428
    • /
    • 2008
  • The DVS(Dynamic Voltage Scaling) technique is the method to reduce the dynamic energy consumption. As using slack times, it extends the execution time of the big load operations by changing the frequency and the voltage of variable voltage processors. Researches, that controlling the energy consumption of the processors and the data transmission among processors by controlling the bandwidth to reduce the energy consumption of the entire system, have been going on. Since operations in multiprocessor systems have the data dependency between processors, however, the DVS techniques devised for single processors are not suitable to improve the energy efficiency of multiprocessor systems. We propose the new scheduling algorithm based on DVS for increasing energy efficiency of multiprocessor systems. The proposed DVS algorithm can improve the energy efficiency of the entire system because it controls frequency and voltages having the data dependency among processors.

Design of 32 bit Parallel Processor Core for High Energy Efficiency using Instruction-Levels Dynamic Voltage Scaling Technique

  • Yang, Yil-Suk;Roh, Tae-Moon;Yeo, Soon-Il;Kwon, Woo-H.;Kim, Jong-Dae
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.9 no.1
    • /
    • pp.1-7
    • /
    • 2009
  • This paper describes design of high energy efficiency 32 bit parallel processor core using instruction-levels data gating and dynamic voltage scaling (DVS) techniques. We present instruction-levels data gating technique. We can control activation and switching activity of the function units in the proposed data technique. We present instruction-levels DVS technique without using DC-DC converter and voltage scheduler controlled by the operation system. We can control powers of the function units in the proposed DVS technique. The proposed instruction-levels DVS technique has the simple architecture than complicated DVS which is DC-DC converter and voltage scheduler controlled by the operation system and a hardware implementation is very easy. But, the energy efficiency of the proposed instruction-levels DVS technique having dual-power supply is similar to the complicated DVS which is DC-DC converter and voltage scheduler controlled by the operation system. We simulate the circuit simulation for running test program using Spectra. We selected reduced power supply to 0.667 times of the supplied power supply. The energy efficiency of the proposed 32 bit parallel processor core using instruction-levels data gating and DVS techniques can improve about 88.4% than that of the 32 bit parallel processor core without using those. The designed high energy efficiency 32 bit parallel processor core can utilize as the coprocessor processing massive data at high speed.

Evaluating Power Consumption and Real-time Performance of Android CPU Governors (안드로이드 CPU 거버너의 전력 소비 및 실시간 성능 평가)

  • Tak, Sungwoo
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.20 no.12
    • /
    • pp.2401-2409
    • /
    • 2016
  • Android CPU governors exploit the DVFS (Dynamic Voltage Frequency Scaling) technique. The DVFS is a power management technique where the CPU operating frequency is decreased to allow a corresponding reduction in the CPU supply voltage. The power consumed by a CPU is approximately proportional to the square of the CPU supply voltage. Therefore, lower CPU operating frequency allows the CPU supply voltage to be lowered. This helps to reduce the CPU power consumption. However, lower CPU operating frequency increases a task's execution time. Such an increase in the task's execution time makes the task's response time longer and makes the task's deadline miss occur. This finally leads to degrading the quality of service provided by the task. In this paper, we evaluated the performance of Android CPU governors in terms of the power consumption, tasks's response time and deadline miss ratio.

Dynamic Voltage and Frequency Scaling for Power-Constrained Design using Process Voltage and Temperature Sensor Circuits

  • Nan, Haiqing;Kim, Kyung-Ki;Wang, Wei;Choi, Ken
    • Journal of Information Processing Systems
    • /
    • v.7 no.1
    • /
    • pp.93-102
    • /
    • 2011
  • In deeply scaled CMOS technologies, two major non-ideal factors are threatening the survival of the CMOS; i) PVT (process, voltage, and temperature) variations and ii) leakage power consumption. In this paper, we propose a novel post-silicon tuning methodology to scale optimum voltage and frequency "dynamically". The proposed design technique will use our PVT sensor circuits to monitor the variations and based on the monitored variation data, voltage and frequency will be compensated "automatically". During the compensation process, supply voltage is dynamically adjusted to guarantee the minimum total power consumption without violating the frequency requirement. The simulation results show that the proposed technique can reduce the total power by 85% and the static power by 53% on average for the selected ISCAS'85 benchmark circuits with 45 nm CMOS technology compared to the results of the traditional PVT compensation method.

A layer-wise frequency scaling for a neural processing unit

  • Chung, Jaehoon;Kim, HyunMi;Shin, Kyoungseon;Lyuh, Chun-Gi;Cho, Yong Cheol Peter;Han, Jinho;Kwon, Youngsu;Gong, Young-Ho;Chung, Sung Woo
    • ETRI Journal
    • /
    • v.44 no.5
    • /
    • pp.849-858
    • /
    • 2022
  • Dynamic voltage frequency scaling (DVFS) has been widely adopted for runtime power management of various processing units. In the case of neural processing units (NPUs), power management of neural network applications is required to adjust the frequency and voltage every layer to consider the power behavior and performance of each layer. Unfortunately, DVFS is inappropriate for layer-wise run-time power management of NPUs due to the long latency of voltage scaling compared with each layer execution time. Because the frequency scaling is fast enough to keep up with each layer, we propose a layerwise dynamic frequency scaling (DFS) technique for an NPU. Our proposed DFS exploits the highest frequency under the power limit of an NPU for each layer. To determine the highest allowable frequency, we build a power model to predict the power consumption of an NPU based on a real measurement on the fabricated NPU. Our evaluation results show that our proposed DFS improves frame per second (FPS) by 33% and saves energy by 14% on average, compared with DVFS.

DVS Predictive Scheduling Technique for Low Power Real time Operating System (실시간 운영체제의 저전력을 위한 DVS 예측 스케쥴링 방법)

  • Ahn, Hee-Tak;Kim, Jong-Tae
    • Proceedings of the KIEE Conference
    • /
    • 2005.07d
    • /
    • pp.2942-2944
    • /
    • 2005
  • 마이크로 프로세서의 클럭 속도를 공급 전압에 따라 변하게 하는 방법을 Dynamic Voltage Scaling 방법이라 한다. 이것은 운영체제를 내장한 컴퓨터 시스템의 에너지 소비 효율성을 높일 수 있는 매우 효과적인 방법이다. 본 논문에서는 Dynamic Voltage Scaling 방법을 응용하여 실시간 운영체제의 스케줄링 방법을 제안하였다. 이 방법은 다음에 실행할 태스크의 양을 예하여 적절하게 공급전압과 클럭 속도를 조절함으로써 에너지 소비 효율성을 높였다.

  • PDF

Dynamic Voltage Scaling (DVS) Considering the DC-DC Converter in Portable Embedded Systems (휴대용 내장형 시스템에서 DC-DC 변환기를 고려한 동적 전압 조절 (DVS) 기법)

  • Choi, Yong-Seok;Chang, Nae-Hyuck;Kim, Tae-Whan
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.2
    • /
    • pp.95-103
    • /
    • 2007
  • Dynamic voltage scaling (DVS) is a well-known and effective power management technique. While there has been research on slack distribution, voltage allocation and other aspects of DVS, its effects on non-voltage-scalable devices has hardly been considered. A DC-DC converter plays an important role in voltage generation and regulation in most embedded systems, and is an essential component in DVS-enabled systems that scale supply voltage dynamically. We introduce a power consumption model of DC-DC converters and analyze the energy consumption of the system including the DC-DC converter. We propose an energy-optimal off-line DVS scheduling algorithm for systems with DC-DC converters, and show experimentally that our algorithm outperforms existing DVS algorithms in terms of energy consumption.

A Dynamic Frequency Controlling Technique for Power Management in Existing Commercial Microcontrollers

  • Lueangvilai, Attakorn;Robertson, Christina;Martinez, Christopher J.
    • Journal of Computing Science and Engineering
    • /
    • v.6 no.2
    • /
    • pp.79-88
    • /
    • 2012
  • Power continues to be a driving force in central processing units (CPU) design. Most of the advanced breakthroughs in power have been in a realm that is applicable to workstation CPUs. Advanced power management systems will manage temperature, dynamic voltage scaling and dynamic frequency scaling in a CPU. The use of power management systems for microcontrollers and embedded CPUs has been modest, and mostly focuses on very large scale integration (VLSI) level optimizations compared to system level optimizations. In this paper, a dynamic frequency controlling (DFC) technique is introduced, to lay the foundation of a system level power management system for commercial microcontrollers. The DFC technique allows a commercial microcontroller to have minor modifications on both the hardware and software side, to allow the clock frequency to change to save power; results in this study show a 10% savings. By adding an additional layer of software abstraction at the interrupt level, the microcontroller can operate without having knowledge of the current clock frequency, and this can be accomplished without having to use an embedded operating system.