• Title/Summary/Keyword: dry-etching

Search Result 407, Processing Time 0.025 seconds

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Developing Low Cost, High Throughput Si Through Via Etching for LED Substrate (LED용 Si 기판의 저비용, 고생산성 실리콘 관통 비아 식각 공정)

  • Koo, Youngmo;Kim, GuSung;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.4
    • /
    • pp.19-23
    • /
    • 2012
  • Silicon substrate for light emitting diodes (LEDs) has been the tendency of LED packaging for improving power consumption and light output. In this study, a low cost and high throughput Si through via fabrication has been demonstrated using a wet etching process. Both a wet etching only process and a combination of wet etching and dry etching process were evaluated. The silicon substrate with Si through via fabricated by KOH wet etching showed a good electrical resistance (${\sim}5.5{\Omega}$) of Cu interconnection and a suitable thermal resistance (4 K/W) compared to AlN ceramic substrate.

Characteristics of Plasma Damage and Recover in PZT Films by Dry Etching (건식식각에 의한 PZT 박막의 플라즈마 손상 및 회복특성)

  • 강명구;김경태;김동표;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07a
    • /
    • pp.375-378
    • /
    • 2002
  • We investigated the reduction of etching damage by additive O$_2$ in etching gas and recovery of etching damage by O$_2$ annealing. The PZT thin films were etched using additive Ar or O$_2$ into Cl$_2$/CF$_4$ gas mixing ratio of 8/2. In order to recover ferroelectric properties of PZT thin films after etching, the etched PZT thin films were annealed at 600 C in O$_2$ atmosphere for 10 min. The remanent polarization is decreased seriously and fatigue is accelerated in the PZT sample etched in Ar/(C1$_2$+CF$_4$) plasma, whereas these characteristics are improved in O$_2$/(Cl$_2$/CF$_4$). From x-ray photoelectron spectroscopy (XPS) analysis, the intensities of Pb-O, Zr-O and Ti-O peaks are changed and the etch byproducts such as metal chloride and metal fluoride are reduced by O$_2$ annealing. From electron probe micro analyzer (EPMA) and auger electron spectroscopy(AES), O$_2$ vacancy is observed after etching. In x-ray diffraction (XRD), the structure damage in the additive O$_2$ into C1$_2$/CF$_4$ is reduced and the improvement of ferroelectric behavioral annealed sample is consistent with the increase of the (100) and (200) PZT peaks.

  • PDF

Electrical Characterization of nano SOl wafer by Pseudo MOSFET (Pseudo-MOSFET을 이용한 nano SOI 웨이퍼의 전기적 특성분석)

  • Bae, Young-Ho;Kim, Byoung-Gil;Kwon, Kyung-Wook
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.3-4
    • /
    • 2005
  • The Pseudo-MOSFET measurements technique has been used for the electrical characterization of the nano SOL Silicon islands for the Pseudo-MOS measurements were fabricated by selective etching of surface silicon film with dry or wet etching to examine the effects of the etching process on the device properties. The characteristics of the Pseudo-MOS was not changed greatly in the case of thick SOI film which was 205 nm. However the characteristics of the device was dependent on etching process in the case of less than 100 nm thick SOI film. The sub 100nm SOI was obtained by thinning the silicon film of standard thick SOI. The thickness of SOI film was varied from 88 nm to 44 nm by chemical etching. The etching process effects on the properties of pseudo-MOSFET characteristics, such as mobility, turn-on voltage, and drain current transient. The etching process dependency is greater in the thinner SOI and related to original SOI wafer quality.

  • PDF

Ridge Formation by Dry-Etching of Pd and AlGaN/GaN Superlattice for the Fabrication of GaN Blue Laser Diodes

  • Kim, Jae-Gwan;Lee, Dong-Min;Park, Min-Ju;Hwang, Seong-Ju;Lee, Seong-Nam;Gwak, Jun-Seop;Lee, Ji-Myeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.391-392
    • /
    • 2012
  • In these days, the desire for the precise and tiny displays in mobile application has been increased strongly. Currently, laser displays ranging from large-size laser TV to mobile projectors, are commercially available or due to appear on the market [1]. In order to achieve a mobile projectors, the semiconductor laser diodes should be used as a laser source due to their size and weight. In this presentation, the continuous etch characteristics of Pd and AlGaN/GaN superlattice for the fabrication of blue laser diodes were investigated by using inductively coupled $CHF_3$ and $Cl_2$ -based plasma. The GaN laser diode samples were grown on the sapphire (0001) substrate using a metal organic chemical vapor deposition system. A Si-doped GaN layer was grown on the substrate, followed by growth of LD structures, including the active layers of InGaN/GaN quantum well and barriers layer, as shown in other literature [2], and the palladium was used as a p-type ohmic contact metal. The etch rate of AlGaN/GaN superlattice (2.5/2.5 nm for 100 periods) and n-GaN by using $Cl_2$ (90%)/Ar (10%) and $Cl_2$ (50%)/$CHF_3$ (50%) plasma chemistry, respectively. While when the $Cl_2$/Ar plasma were used, the etch rate of AlGaN/GaN superlattice shows a similar etch rate as that of n-GaN, the $Cl_2/CHF_3$ plasma shows decreased etch rate, compared with that of $Cl_2$/Ar plasma, especially for AlGaN/GaN superlattice. Furthermore, it was also found that the Pd which is deposited on top of the superlattice couldn't be etched with $Cl_2$/Ar plasma. It was indicating that the etching step should be separated into 2 steps for the Pd etching and the superlattice etching, respectively. The etched surface of stacked Pd/superlattice as a result of 2-step etching process including Pd etching ($Cl_2/CHF_3$) and SLs ($Cl_2$/Ar) etching, respectively. EDX results shows that the etched surface is a GaN waveguide free from the Al, indicating the SLs were fully removed by etching. Furthermore, the optical and electrical properties will be also investigated in this presentation. In summary, Pd/AlGaN/GaN SLs were successfully etched exploiting noble 2-step etching processes.

  • PDF

High Density Inductively Coupled Plasma Etching of III-V Semiconductors in BCI3Ne Chemistry (BCI3Ne 혼합가스를 이용한 III-V 반도체의 고밀도 유도결합 플라즈마 식각)

  • 백인규;임완태;이제원;조관식
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1187-1194
    • /
    • 2003
  • A BCl$_3$/Ne plasma chemistry was used to etch Ga-based (GaAs, AIGaAs, GaSb) and In-based (InGaP, InP, InAs and InGaAsP) compound semiconductors in a Planar Inductively Coupled Plasma (ICP) reactor. The addition of the Ne instead of Ar can minimize electrical and optical damage during dry etching of III-V semiconductors due to its light mass compared to that of Ar All of the materials exhibited a maximum etch rate at BCl$_3$ to Ne ratios of 0.25-0.5. Under all conditions, the Ga-based materials etched at significantly higher rates than the In-based materials, due to relatively high volatilities of their trichloride etch products (boiling point CaCl$_3$ : 201 $^{\circ}C$, AsCl$_3$ : 130 $^{\circ}C$, PCl$_3$: 76 $^{\circ}C$) compared to InCl$_3$ (boiling point : 600 $^{\circ}C$). We obtained low root-mean-square(RMS) roughness of the etched sulfate of both AIGaAs and GaAs, which is quite comparable to the unetched control samples. Excellent etch anisotropy ( > 85$^{\circ}$) of the GaAs and AIGaAs in our PICP BCl$_3$/Ne etching relies on some degree of sidewall passivation by redeposition of etch products and photoresist from the mask. However, the surfaces of In-based materials are somewhat degraded during the BCl$_3$/Ne etching due to the low volatility of InCl$_{x}$./.

Deep RIE(reactive ion etching)를 이용한 가스 유량센서 제작

  • Lee, Yeong-Tae;An, Gang-Ho;Gwon, Yong-Taek;Takao, Hidekuni;Ishida, Makoto
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2006.10a
    • /
    • pp.198-201
    • /
    • 2006
  • In this paper, we fabricated drag force type and pressure difference type gas flow sensor with dry etching technology which used Deep RIE(reactive ion etching) and etching stop technology which used SOI(silicon-on-insulator). we fabricated four kinds of sensor, which are cantilever, paddle type, diaphragm, and diaphragm with orifice type. Both cantilever and paddle type flow sensors have similar sensitivity as 0.03mV/V kPa. Sensitivity of the fabricated diaphragm and diaphragm with orifice type sensor were relatively high as about 3.5mV/V kPa, 1.5mV/V kPa respectively.

  • PDF