• 제목/요약/키워드: damascene

검색결과 59건 처리시간 0.026초

Cu 배선 형성을 위한 CMP 특성과 ECP 영향 (Cu CMP Characteristics and Electrochemical plating Effect)

  • 김호윤;홍지호;문상태;한재원;김기호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.252-255
    • /
    • 2004
  • 반도체는 high integrated, high speed, low power를 위하여 design 뿐만 아니라 재료 측면에서도 많은 변화를 가져오고 있으며, RC delay time을 줄이기 위하여 Al 배선보다 비저항이 낮은 Cu와 low-k material 적용이 그 대표적인 예이다. 그러나, Cu 배선의 경우 dry etching이 어려우므로, 기존의 공정으로는 그 한계를 가지므로 damascene 또는 dual damascene 공정이 소개, 적용되고 있다. Damascene 공정은 절연막에 photo와 RIE 공정을 이용하여 trench를 형성시킨 후 electrochemical plating 공정을 이용하여 trench에 Cu를 filling 시킨다. 이후 CMP 공정을 이용하여 절연막 위의 Cu와 barrier material을 제거함으로서 Cu 배선을 형성하게 된다. Dual damascene 공정은 trench와 via를 동시에 형성시키는 기술로 현재 대부분의 Cu 배선 공정에 적용되고 있다. Cu CMP는 기존의 metal CMP와 마찬가지로 oxidizer를 이용한 Cu film의 화학반응과 연마 입자의 기계가공이 기본 메커니즘이다. Cu CMP에서 backside pressure 영향이 uniformity에 미치는 영향을 살펴보았으며, electrochemical plating 공정에서 발생하는 hump가 CMP 결과에 미치는 영향과 dishing 결과를 통하여 그 영향을 평가하였다.

  • PDF

Cu Dual Damascene 배선 공정에서의 DCV 배선구조의 EM 특성 연구 (Electromigration Characteristics Stduy DCV Interconnect Structures in Cu Dual-Damascene Process)

  • 이현기;최민호;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.123-124
    • /
    • 2005
  • We investigated the effect of a Ta/TaN Cu diffusion barrier existence on the reliability and the electrical performance of Cu dual-damascene interconnects. A high EM performance in Cu dual-damascene structure was observed the BCV(barrier contact via) interconnect structure to remain Ta/TaN barrier layer. Via resistance was decreased DCV interconnect structure by bottomless process. This structure considers that DCV interconnect structure has lower activation energy and higher current density than BCV interconnect structure. The EM failures by BCV via structure were formed at via hole, but DCV via structure was formed EM fail at the D2 line. In order to improve the EM characteristic of DCV interconnect structure by bottomless process, after Ta/TaN diffusion barrier layer in via bottom is removed by Ar+ resputtering process, it is desirable that Ta thickness is thickly made by Ta flash process.

  • PDF

Rosa Damascene Mill. (Rose): A versatile herb in cosmetology

  • Ahmed, Yasmeen;Jamil, S.Shakir;Hashimi, Ayshah;Siraj, Mantasha Binth;Jahangir, Umar
    • 셀메드
    • /
    • 제9권4호
    • /
    • pp.2.1-2.4
    • /
    • 2019
  • With the improvement of economic status and the desire for beauty, the interest in health and skin care is increasing. For these demands, since ages medicinal plants are in vogue. A variety of plants, cosmetics and foods with novel bioactive ingredients for skin care and beauty are under constant research and development. Skin is influenced by various factors such as Ultra-violet rays, stress, hormones and aging which together lead skin to lose elasticity, changes in pigmentation and wrinkle formation. Many medicinal plants have proven effects in skin care and beauty treatment. From this list of medicinal plants, one which is famous for its beauty, flavor and fragrance is Rosa damascene. Rosa damascene has many therapeutic action and postulated pharmacological studies such as anti-arthritic, anti-microbial, cardio protective, anti-inflammatory, antioxidant, analgesic, immune-modulator, gastro-protective, and skin ameliorative effect. Research in the field of Cosmetology has proven the effect of Rosa damascene in rehydrating skin, reducing scars and stretches, acne management, lowering skin pigmentation, delaying wrinkling and is recommended as a skin vitalizing agent. In this review, the morphology, chemical constituents, and some pharmacological activity are discussed.

용액 교반이 Damascene 공정의 무전해 구리 도금에 미치는 영향 (The Effect of Solution Agitation on the Electroless Cu deposition of Damascene Process)

  • 이주열;김덕진;김만
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2007년도 추계학술대회 논문집
    • /
    • pp.83-84
    • /
    • 2007
  • Damascene 공정을 이용하여 80nm급의 trench 패턴 내에 구리 배선 형성을 위해 무전해 구리 도금법을 이용하였다. 화학 반응으로 진행되는 무전해 도금법에 의한 구리이온의 초미세 패턴 내 환원 과정에 구리 이온의 물질 전달과정이 구리 도금층의 표면 특성과 superconformality에 미치는 영향을 살펴보았다. 회전 전극에 고정된 칩의 회전 속도가 증가함에 따라 구리 도금층의 비저항이 감소하고, trench 내 균일 도금성이 향상되는 것으로 나타났다.

  • PDF

Damascene 공정으로 제조한 $Bi_{3.25}La_{0.75}Ti_3O_{12}$ 박막 캐패시터 소자 특성 (Properties of $Bi_{3.25}La_{0.75}Ti_3O_{12}$ Thin Film Capacitors Fabricated by Damascene Process)

  • 신상헌;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.368-369
    • /
    • 2006
  • Ferroelectric thin films have attracted much attention for applications in nonvolatile ferroelectric random access memories(NVFeRAM) from the view points of high speed operation, low power consumption, and large scale Integration[1,2]. Among the FRAM, BLT is of particular interest. as it is not only crystallized at relatively low processing temperature, but also shows highly fatigue resistance and large remanent polarization Meanwhile, these submicron ferroelectric capacitors were fabricated by a damascene process using Chemical mechanical polishing (CMP). BLT capacitors were practicable by a damascene process using CMP. The P-E hysteresis were measured under an applied bias of ${\pm}5V$ by using an RT66A measurement system. The electric properties such as I-V were determined by using HP4155A analysers.

  • PDF

Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화 (Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process)

  • 유해영;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

Damascene 공정을 이용한 $Pb(Zr,Ti)O_3$ 캐패시터 제조 연구 (Fabrication of $Pb(Zr,Ti)O_3$ Thin Film Capacitors by Damascene Process)

  • 고필주;이우선
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.105-106
    • /
    • 2006
  • The ferroelectric materials of the PZT, SBT attracted much attention for application to ferroelectric random access memory (FRAM) devices. Through the last decade, the lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for the ferroelectric products due to its higher remanant polarization and the ability to withstand higher coercive fields. FRAM has been currently receiving increasing attention for one of future memory devices due to its ideal memory properties such as non-volatility, high charge storage, and faster switching operations. In this study, we first applied the damascene process using chemical mechanical polishing (CMP) to the fabricate the $Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ thin film capacitor in order to solve the problems of plasma etching such as low etching profile and ion charging. The structural characteristics were compared with specimens before and after CMP process of PZT films. The scanning electron microscopy (SEM) analysis was performed to compare the morphology surface characteristics of $Pb_{1.1}(Zr_{0.52}Ti_{0.48})O_3$ capacitors. The densification by the vertical sidewall patterning and charging-free ferroelectric capacitor could be obtained by the damascene process without remarkable difference of the characteristics.

  • PDF

HIQSA 농도가 60nm급 Damascene 공정의 무전해 구리 도금에 미치는 영향 (The Effect of the Concentration of HIQSA on the Electroless Cu Deposition during 60nm Level Damascene Process)

  • 이주열;김덕진;김만
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2007년도 추계학술대회 논문집
    • /
    • pp.87-88
    • /
    • 2007
  • 무전해 구리 도금 공정에서 첨가제로 사용되는 HIQSA 화합물이 Damascene 공정을 이용한 60nm급 trench 패턴 내 무전해 구리 배선 형성 과정에 미치는 효과를 전기 화학적 기법과 광학적 기법을 이용하여 관찰하였다. HIQSA 농도별 open circuit potential의 변화를 관측한 결과, 3ppm 수준으로 첨가되었을 때, 무전해 도금 과정 중 가장 안정한 전위가 유지됨을 볼 수 있었다. 무전해 도금액 내 HIQSA 농도가 높아짐에 따라 구리 도금층의 두께는 지수적으로 감소하였으며, 표면의 결정 크기도 감소하였다. 60nm급 trench 내 무전해 구리 도금 시, 용액 내 침적 시간 60초가 무결함 superconformal copper filling을 얻기 위한 최적 시간이었다.

  • PDF

Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM

  • Kim, Yong-Sung;Shin, Soo-Ho;Han, Sung-Hee;Yang, Seung-Chul;Sung, Joon-Ho;Lee, Dong-Jun;Lee, Jin-Woo;Chung, Tae-Young
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권2호
    • /
    • pp.61-67
    • /
    • 2006
  • We fabricate local damascene FinFET cell array in sub-60nm feature sized DRAM. The local damascene structure can remove passing-gate-effects in FinFET cell array. p+ boron in-situ doped polysilicon is chosen for the gate material, and we obtain a uniform distribution of threshold voltages at around 0.7V. Sub-threshold swing of 75mV/d and extrapolated off-state leakage current of 0.03fA are obtained, which are much suppressed values against those of recessed channel array transistors. We also obtain a few times higher on-state current. Based on the improved on- and off-state current characteristics, we expect that the FinFET cell array could be a new mainstream structure in sub-60nm DRAM devices, satisfying high density, low power, and high-speed device requirements.

W-slurry의 산화제 첨가량에 따른 Cu-CMP특성 (The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry)

  • 이우선;최권우;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF