• Title/Summary/Keyword: ceria abrasive

Search Result 27, Processing Time 0.026 seconds

Effects of Polymer Adsorption on Stabilities and CMP Performance of Ceria Abrasive Particles

  • Shimono Norifumi;Kawaguchi Masami;Koyama Naoyuki
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.3
    • /
    • pp.112-117
    • /
    • 2006
  • In this paper we present that the effects of polymer adsorption on stabilities and CMP performance of ceria abrasive particles. Characterization of ceria abrasive particles in the presence of poly(vinyl pyrrolidone) (PVP) was performed by the measurements of adsorbed amounts of PVP, average sizes, and the back scattering intensities of the ceria abrasive particles as functions of PVP molecular weight and PVP concentration. The ceria abrasive particles in the presence of PVP were used to polish $SiO_2\;and\;Si_3N_4$ films deposited on Si wafers in order to understand the effect of PVP adsorption on chemical mechanical polishing (CMP) performance, together with ceria abrasive particles without PVP. Adsorption of PVP on the ceria abrasive particles enhanced the stability of ceria abrasive particles due to steric stabilization of the thick adsorbed layer of PVP. Removal rates of the deposited $SiO_2\;and\;Si_3N_4$ films by the ceria abrasive particles in the presence of PVP were much lower than those in the absence of PVP and their magnitudes were decreased with an increase in the concentration of free PVP chains in the dispersion media. This suggests that the CMP performance in the presence of PVP could be mainly controlled by the hydrodynamic interactions between the adsorbed PVP chains and the free ones. Moreover, the molecular weight dependence of PVP on the removal rates of the deposited films was hardly observed. On the other hand, high removal rate selectivity between the deposited films in the presence of PVP was not observed.

Correlation between Ceria abrasive accumulation on pad surface and Material Removal in Oxide CMP (산화막 CMP에서 세리아 입자의 패드 표면누적과 재료제거 관계)

  • Kim, Young-Jin;Park, Boum-Young;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.118-118
    • /
    • 2008
  • The oxide CMP has been applied to interlayer dielectric(ILD) and shallow trench isolation (STI) in chip fabrication. Recently the slurry used in oxide CMP being changed from silica slurry to ceria (cerium dioxide) slurry particularly in STI CMP, because the material selectivity of ceria slurry is better than material selectivity of silica slurry. Moreover, the ceria slurry has good a planarization efficiency, compared with silica slurry. However ceria abrasives make a material removal rate too high at the region of wafer center. Then we focuses on why profile of material removal rate is convex. The material removal rate sharply increased to 3216 $\AA$/min by $4^{th}$ run without conditioning. After $4^{th}$ run, material removal rate converged. Furthermore, profile became more convex during 12 run. And average material removal rate decreased when conditioning process is added to end of CMP process. This is due to polishing mechanism of ceria. Then the ceria abrasive remains at the pad, in particular remains more at wafer center contacted region of pad. The field emission scanning electron microscopy (FE-SEM) images showed that the pad sample in the wafer center region has a more ceria abrasive than in wafer outer region. The energy dispersive X-ray spectrometer (EDX) verified the result that ceria abrasive is deposited and more at the region of wafer center. Therefore, this result may be expected as ceria abrasives on pad surface causing the convex profile of material removal rate.

  • PDF

Preparation of Ceria Coated Silica Abrasive by Hydrothermal Treatment and Polishing Rate on Oxide Film (수열처리에 의한 세리아가 코팅된 실리카 연마재의 제조 및 Oxide Film의 연마특성)

  • Ryu Dae Sun;Kim Dae Sung;Lee Seung-Ho
    • Korean Journal of Materials Research
    • /
    • v.15 no.12
    • /
    • pp.818-823
    • /
    • 2005
  • Sub-micron colloidal silica particles coated with nano-sized ceria were prepared by mixing of its silica and cerium salts hydrolysis, and modified by hydrothermal reaction. By using the slurries with and without hydrothermal modification containing above particles, oxide film coated on silicon wafer was polished. The modified slurries had higher polish rate due to increase of ceria fraction to silica through hydrothermal reaction. They revealed higher stability in wide range of pH $2\~10$ than ceria coated silica slurries without its modification.

Improvement of Oxide-Mechanical Polishing Characteristics According to the Ceria Abrasive Adding (세리아 연마제 첨가량에 따른 산화막 CMP 특성 고찰)

  • Han, Sang-Jun;Park, Sung-Woo;Lee, Woo-Sun;Sea, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.87-88
    • /
    • 2006
  • To investigate the possibility of ceria abrasive-added slurry for the oxide-chemical mechanical polishing (oxide-CMP) application, two kinds of retreated methods were introduced as follows: First, the characteristics of mixed abrasive slurry (MAS) using $CeO_2$ powder as an abrasive added within diluted silica slurry (DSS) were evaluated to achieve the improvement of removal rates and non-uniformity. Second, the control of pH level due to the dilution of slurry was examined. And then, we have discussed the CMP characteristics as a function of abrasive dispersion time.

  • PDF

The Adhesion of Abrasive Particle during Poly-Si, TEOS and SiN CMP (Poly-Si, TEOS, SiN 막질의 CMP 공정 중의 연마입자 오염 특성 평가.)

  • Kim, Jin-Young;Hong, Yi-Kwan;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.561-562
    • /
    • 2006
  • The purpose of this study was to investigate the root cause of adhesion of silica and ceria particles during Poly-Si, TEOS, and SiN CMP process, respectively. The zeta-potentials of abrasive particles and wafers were observed negative surface charges in the alkaline solutions. SAC and STI patterned wafers have intermediate values of their composition surface's zeta potentials. The theoretical interaction force and adhesion force of silica and ceria particle were calculated in solution with acidic, neutral and alkaline pH. A stronger attractive force was calculated for silica and ceria particles on wafers in acidic solutions than in alkaline solutions. The theoretical interaction forces of the SAC and STI patterned wafers have intermediate values of their constitution wafer's values. The adhesion forces is observed lower values in alkaline solutions than in acidic solutions. And the ceria particle has lower adhesion than that of the silica particle.

  • PDF

Synthesis of Ceria Nanosphere by Ultrasonic Spray Pyrolysis

  • Kim, Jong-Young;Kim, Ung-Soo;Cho, Woo-Seok
    • Journal of the Korean Ceramic Society
    • /
    • v.46 no.3
    • /
    • pp.249-252
    • /
    • 2009
  • Nanocrystalline ceria particles were prepared by using the ultrasonic spray pyrolysis method. The prepared ceria particles were found to be spherical and non-agglomerated by the SEM and TEM analyses. It was found that carrier gas influences the size and morphology. It was found that the air stream of carrier gas results in porous agglomerated structure of ceria abrasives, whereas solid nano-sphere can be obtained in a more oxidizing atmosphere.

Effect of the Nano Ceria Slurry Characteristics on end Point Detection Technology for STI CMP (STI CMP용 가공종점 검출기술에서 나노 세리아 슬러리 특성이 미치는 영향)

  • 김성준;강현구;김민석;백운규;박재근
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.1
    • /
    • pp.15-20
    • /
    • 2004
  • Through shallow trench isolation (STI) chemical mechanical polishing (CMP) tests, we investigated the dependence of pad surface temperature on the abrasive and additive concentrations in ceria slurry under varying pressure using blanket film wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surfaces during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increasing the additive concentration. This difference in temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, meaning the higher friction coefficient.

  • PDF

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

Effect of Slurry Characteristics on Nanotopography Impact in Chemical Mechanical Polishing and Its Numerical Simulation (기계.화학적인 연마에서 슬러리의 특성에 따른 나노토포그래피의 영향과 numerical시뮬레이션)

  • Takeo Katoh;Kim, Min-Seok;Ungyu Paik;Park, Jea-Gun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.11a
    • /
    • pp.63-63
    • /
    • 2003
  • The nanotopography of silicon wafers has emerged as an important factor in the STI process since it affects the post-CMP thickness deviation (OTD) of dielectric films. Ceria slurry with surfactant is widely applied to STI-CMP as it offers high oxide-to-nitride removal selectivity. Aiming to control the nanotopography impact through ceria slurry characteristics, we examhed the effect of surfactant concentration and abrasive size on the nanotopography impact. The ceria slurries for this study were produced with cerium carbonate as the starting material. Four kinds of slurry with different size of abrasives were prepared through a mechanical treatment The averaged abrasive size for each slurry varied from 70 nm to 290 nm. An anionic organic surfactant was added with the concentration from 0 to 0.8 wt %. We prepared commercial 8 inch silicon wafers. Oxide Shu were deposited using the plasma-enhanced tetra-ethyl-ortho-silicate (PETEOS) method, The films on wafers were polished on a Strasbaugh 6EC. Film thickness before and after CMP was measured with a spectroscopic ellipsometer, ES4G (SOPRA). The nanotopogrphy height of the wafer was measured with an optical interferometer, NanoMapper (ADE Phase Shift)

  • PDF