• Title/Summary/Keyword: cat-CVD

Search Result 13, Processing Time 0.025 seconds

Purification of Si using Catalytic CVD

  • Jo, Chul-Gi;Lee, Kyeong-Seop;Song, Min-Wu;Kim, Young-Soon;Shin, Hyung-Shik
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2009.11a
    • /
    • pp.383-383
    • /
    • 2009
  • Silicon is commercially prepared by the reaction of high-purity silica with wood, charcoal, and coal, in an electric arc furnace using carbon electrodes, so called the metallurgical refining process, which produces ~98% pure Si (MG-Si). This can be further purified to solar grade silicon (SoG-Si) by various techniques. The most problematic impurity elements are B and P because of their high segregation coefficients. In this study, we explored the possibility of the using Cat-CVD for Si purification. The existing hot-wire CVD was modified to accommodate the catalyzer and the heating source. Mo boat (1.5 cm ${\times}$ 1 cm ${\times}$ 0.2 cm) was used as a heating source. Commercially available Si was purchased from Nilaco corporation (~99% pure). This powder was kept in the Mo-boat and heated to the purification temperature. In addition to the purification by cat-CVD technique, other methods such as thermal CVD, plasma enhanced CVD, vacuum annealing was also tried. It is found that the impurities are reduced to a great extent when treated with cat-CVD method.

  • PDF

Nano-thick Nickel Silicide and Polycrystalline Silicon on Polyimide Substrate with Extremely Low Temperature Catalytic CVD (폴리이미드 기판에 극저온 Catalytic-CVD로 제조된 니켈실리사이드와 실리콘 나노박막)

  • Song, Ohsung;Choi, Yongyoon;Han, Jungjo;Kim, Gunil
    • Korean Journal of Metals and Materials
    • /
    • v.49 no.4
    • /
    • pp.321-328
    • /
    • 2011
  • The 30 nm-thick Ni layers was deposited on a flexible polyimide substrate with an e-beam evaporation. Subsequently, we deposited a Si layer using a catalytic CVD (Cat-CVD) in a hydride amorphous silicon (${\alpha}$-Si:H) process of $T_{s}=180^{\circ}C$ with varying thicknesses of 55, 75, 145, and 220 nm. The sheet resistance, phase, degree of the crystallization, microstructure, composition, and surface roughness were measured by a four-point probe, HRXRD, micro-Raman spectroscopy, FE-SEM, TEM, AES, and SPM. We confirmed that our newly proposed Cat-CVD process simultaneously formed both NiSi and crystallized Si without additional annealing. The NiSi showed low sheet resistance of < $13{\Omega}$□, while carbon (C) diffused from the substrate led the resistance fluctuation with silicon deposition thickness. HRXRD and micro-Raman analysis also supported the existence of NiSi and crystallized (>66%) Si layers. TEM analysis showed uniform NiSi and silicon layers, and the thickness of the NiSi increased as Si deposition time increased. Based on the AES depth profiling, we confirmed that the carbon from the polyimide substrate diffused into the NiSi and Si layers during the Cat-CVD, which caused a pile-up of C at the interface. This carbon diffusion might lessen NiSi formation and increase the resistance of the NiSi.

Performance of Thin Film Transistors Having an As-Deposited Polycrystalline Silicon Channel Layer

  • Hong, Wan-Shick;Cho, Hyun-Joon;Kim, Tae-Hwan;Lee, Kyung-Min
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2007.08b
    • /
    • pp.1266-1269
    • /
    • 2007
  • Polycrystalline silicon (poly-Si) films were prepared directly on plastic substrates at a low (< $200^{\circ}C$) by using Catalytic Chemical Vapor Deposition (Cat-CVD) technique without subsequent annealing steps. Surface roughness of the poly-Si layer and the density of the gate dielectric layer were found to be influential to the TFT performance.

  • PDF

Cat-CVD법을 이용하여 다양한 제막압력 조건에서 증착된 PTFE(polytetrafluoroethylene) 박막의 소수성 평가에 관한 연구

  • Alghusun, Mohammad;Yeo, Seung-Jun;An, Jeong-Seon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.281-281
    • /
    • 2012
  • 연꽃잎 효과(Lotus effect)라 불리는 자가 세정 효과(self cleaning effect)는 연꽃이 항상 깨끗한 상태를 유지하는 것이 관찰되면서 꾸준히 관심에 대상이 되어 왔었다. 자가 세정 효과는 접촉각 $150^{\circ}$ 이상의 초소수성 표면에서 구현이 가능하며 이런 표면을 일상생활부터 산업분야까지 응용하고자 하는 많은 노력들이 있었다. 물질의 친수성 또는 소수성은 표면의 거칠기(roughness)와 표면에너지(surface energy)의 두 가지 특성에 의해 결정된다. 하지만 낮은 표면에너지 물질을 사용해도 접촉각 $150^{\circ}$ 이상의 초소수성 표면을 얻긴 힘들며, 표면의 거칠기를 증가시켜야 한다. PTFE (polytetrafluoroethylene)는 낮은 표면에너지를 가진 소수성 물질로 bulk일 경우 접촉각이 약 $108^{\circ}$이지만 거친 표면을 가진 박막으로 만들 경우 접촉각이 $150^{\circ}$ 이상의 값을 가지는 초수수성 표면이 가능한 물질이다. 특히, 초소수성 표면 이외에 우수한 내열성 및 내화학성 특성을 가지고 있어 디스플레이 및 태양전지 등의 자가세정(self cleaning) 보호막으로써 응용이 기대되고 있다. 본 연구에서는 HFPO (hexafluoropropylene)를 원료 가스로 이용하여, Si(100)과 유리 기판위에 Cat-CVD (Catalytic Chemical Vapor Deposition)법으로 PTFE 박막을 증착하였다. 텅스텐(W)을 촉매로 사용하였으며, 촉매온도가 $850^{\circ}C$이상인 조건에서 접촉각이 $150^{\circ}$ 이상인 초소수성 PTFE 표면을 쉽게 얻을 수 있었다. 특히 본 연구에서는 제막압력을 300 mTorr에서 700 mTorr까지 변화시켜 가며 유리와 Si 기판위에 증착하였다. Cat-CVD 제막압력을 변화시켜가며 증착된 PTFE 박막의 접촉각을 측정한 결과, 제막압력이 300 mTorr일 때 glass와 Si 기판위에 증착된 PTFE박막 표면에서의 접촉각은 각각 133, $117^{\circ}$였지만, 제막압력이 400 mTorr이상일 땐 $150^{\circ}$ 이상의 높은 접촉각을 갖는 초소수성 표면을 얻을 수 있었다.

  • PDF

Property of Nano-thickness Nickel Silicides with Low Temperature Catalytic CVD (Catalytic CVD 저온공정으로 제조된 나노급 니켈실리사이드의 물성)

  • Choi, Yongyoon;Kim, Kunil;Park, Jongsung;Song, Ohsung
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.2
    • /
    • pp.133-140
    • /
    • 2010
  • 10 nm thick Ni layers were deposited on 200 nm $SiO_2/Si$ substrates using an e-beam evaporator. Then, 60 nm or 20 nm thick ${\alpha}$-Si:H layers were grown at low temperature (<$200^{\circ}C$) by a Catalytic-CVD. NiSi layers were already formed instantaneously during Cat-CVD process regardless of the thickness of the $\alpha$-Si. The resulting changes in sheet resistance, microstructure, phase, chemical composition, and surface roughness with the additional rapid thermal annealing up to $500^{\circ}C$ were examined using a four point probe, HRXRD, FE-SEM, TEM, AES, and SPM, respectively. The sheet resistance of the NiSi layer was 12${\Omega}$/□ regardless of the thickness of the ${\alpha}$-Si and kept stable even after the additional annealing process. The thickness of the NiSi layer was 30 nm with excellent uniformity and the surface roughness was maintained under 2 nm after the annealing. Accordingly, our result implies that the low temperature Cat-CVD process with proposed films stack sequence may have more advantages than the conventional CVD process for nano scale NiSi applications.

Direct Deposition of high quality nanocrystalline Silicon Films by Catalytic CVD at Low Temperatures (<200 K)

  • Kim, Tae-Hwan;Lee, Kyoung-Min;Hwang, Jae-Dam;Hong, Wan-Shick
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.261-263
    • /
    • 2008
  • We attempted modulation of the hydrogen dilution ratio in a Cat-CVD system to achieve both the minimal incubation layer and the high throughput. We obtained the incubation layer thickness of 3 nm, and were able to grow a 200 nm-thick film having a 70 % crystallinity in 18 minutes.

  • PDF

50nm thick as-deposited poly silicon as an active layer of TFT for driving AM-OLEDs prepared at low temperature $(<200^{\circ}C)$ using Cat-CVD

  • Cho, Chul-Lae;Lee, Sung-Hyun;Lee, Chang-Hoon;Lee, Dea-Hyun;Lee, Sang-Yoon;Kwon, Jang-Yeon;Park, Kyung-Bae;Kim, Jong-Man;Jung, Ji-Sim;Hong, Wan-Shick
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.495-498
    • /
    • 2006
  • The influence of various process parameters for the as-deposited poly silicon was investigated. The polycrystalline silicon films were successfully deposited on glass substrates at a low-temperature $(<200^{\circ}C)$ using the catalytic chemical vapor deposition (Cat-CVD). We achieved a low hydrogen content $({\sim}0.9%)$ and a high deposition rate $({\sim}35{\AA}/sec)$. The film is applicable to thin film transistors on plastic substrates.

  • PDF

Low Temperature Processes of Poly-Si TFT Backplane for Flexible AM-OLEDs

  • Hong, Wan-Shick;Lee, Sung-Hyun;Cho, Chul-Lae;Lee, Kyung-Eun;Kim, Sae-Bum;Kim, Jong-Man;Kwon, Jang-Yeon;Noguchi, Takashi
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.785-789
    • /
    • 2005
  • Low temperature deposition of silicon and silicon nitride films by catalytic CVD technique was studied for application to thin film transistors on plastic substrates for flexible AMOLEDs. The substrate temperature initially held at room temperature, and was controlled successfully below $150^{\circ}C$ during the entire deposition process. Amorphous silicon films having good adhesion, good surface morphology and sufficiently low content of atomic hydrogen were obtained and could be successfully crystallized using excimer laser without a prior dehydrogenation step. $SiN_x$ films showed a good refractive index, a high deposition rate, a moderate breakdown field and a dielectric constant. The Cat-CVD silicon and silicon nitride films can be good candidates for fabricating thin films transistors on plastic substrates to drive active-matrix organic light emitting display.

  • PDF