• 제목/요약/키워드: bonding temperature

검색결과 1,060건 처리시간 0.026초

플립칩용 에폭시 접착제의 저온 속경화 거동에 미치는 경화제의 영향 (Effects of Hardeners on the Low-Temperature Snap Cure Behaviors of Epoxy Adhesives for Flip Chip Bonding)

  • 최원정;유세훈;이효수;김목순;김준기
    • 한국재료학회지
    • /
    • 제22권9호
    • /
    • pp.454-458
    • /
    • 2012
  • Various adhesive materials are used in flip chip packaging for electrical interconnection and structural reinforcement. In cases of COF(chip on film) packages, low temperature bonding adhesive is currently needed for the utilization of low thermal resistance substrate films, such as PEN(polyethylene naphthalate) and PET(polyethylene terephthalate). In this study, the effects of anhydride and dihydrazide hardeners on the low-temperature snap cure behavior of epoxy based non-conductive pastes(NCPs) were investigated to reduce flip chip bonding temperature. Dynamic DSC(differential scanning calorimetry) and isothermal DEA(dielectric analysis) results showed that the curing rate of MHHPA(hexahydro-4-methylphthalic anhydride) at $160^{\circ}C$ was faster than that of ADH(adipic dihydrazide) when considering the onset and peak curing temperatures. In a die shear test performed after flip chip bonding, however, ADH-containing formulations indicated faster trends in reaching saturated bond strength values due to the post curing effect. More enhanced HAST(highly accelerated stress test) reliability could be achieved in an assembly having a higher initial bond strength and, thus, MHHPA is considered to be a more effective hardener than ADH for low temperature snap cure NCPs.

도재(陶材)와 도재(陶材) 소부용(燒付用) Ni-Cr계(系) 합금간(合金間)의 결합력(結合力)에 관(關)한 실험적(實驗的) 연구(硏究) (AN EXPERIMENTAL STUDY ON THE BONDING STRENGTH BETWEEN PORCELAIN AND Ni-Cr BASED PORCELAIN ALLOY)

  • 김경선
    • 대한치과보철학회지
    • /
    • 제19권1호
    • /
    • pp.61-73
    • /
    • 1981
  • The effects of the opaque porcelain firing temperature, the bonding agent and the degassing prior to the opaque firing On the bond strength were investigated by means of the tensile shear stIe$. The diffusional behaviours at the interface of the porcelain and the alloy, and .the microstructures of the ceramic and metal composite were studied for understanding the bonding mechanism. The results obtained in this experiment were summarizd as follow; 1. With no application of bonding agent, the tensile shear strength of the specimen firing at $1840^{\circ}F$ was higher than that of the specimen firing at $1760^{\circ}F$. 2. The highest bond strength was obtained by application of bonding agent without degassing prior to the opaque firing. 3. Application of bonding agent after the degassing showed the lowest bond strength. 4. The greater number of pores were observed at the firing temperature of $1840^{\circ}F$ than that of $1760^{\circ}F$ in the porcelain and the interface respectively.

  • PDF

방화석고보드 부착이 섬유혼입 고강도 콘크리트 모의 기둥부재의 내화특성 및 잔존내력에 미치는 영향 (Combined Effect of Fireproofing Gypsum Board on Residual Strength and Fire Resistance of Fiber Addition High Strength Concrete-Model Column)

  • 양성환
    • 한국건축시공학회지
    • /
    • 제12권4호
    • /
    • pp.442-450
    • /
    • 2012
  • 본 연구는 50 MPa급 고강도 콘크리트 모의 기둥부재를 대상으로 PF섬유 혼입 및 방화석고보드를 부착하므로써, 내화특성 및 잔존내력에 대하여 검토하였다. 먼저, 모체 콘크리트의 기본 물성은 모두 목표 범위를 만족하는 것으로 나타났다. 내부 온도이력은 방화석고보드가 미부착된 경우 온도가 다소 높게 나타나는 경향을 보였고, 방화석고보드가 부착된 경우는 섬유 혼입율이 증가할수록 온도가 점차 낮게 분포되었다. 상호관계로는 시간이 경과할수록 섬유가 혼입된 경우에서 낮은 온도분포를 나타내었으며, 보드가 부착되었을 때 더욱 낮은 온도 경향을 확인할 수 있었다. 한편, 외관성상은 PF 0 %에서 심한 파괴 폭렬 현상이 발생하였으며, 섬유혼입율이 증가할수록 탈락 현상은 방지되었으나, 색상 변질 및 다수의 균열이 발견되었고, 보드가 부착된 경우는 혼입율이 증가할수록 외관이 양호해지는 경향을 나타내었다. 잔존 압축강도로 보드 미부착 PF 0 %에서는 강도측정이 불가능하였으며, 섬유혼입율이 증가할수록 강도는 증가하였으나, 약 30~40 %의 강도저하 현상을 나타내었고, 보드 부착 PF 0 %의 경우 강도측정은 가능하였으나 약 80 % 가량 강도가 저하하였으며, 섬유혼입율이 증가할수록 저하폭은 감소하여 약 10~20 %의 강도 저하만을 나타내었다. 이상을 종합하면, PF섬유 혼입 및 방화석고보드 부착을 개별적으로 사용하는 것보다는 두 가지 방법을 복합적으로 적용할 때 내화성능 향상에 있어서 보다 효과적일 것으로 분석되었다.

구조용 압연강재와 연청동 합금의 반용융 확산접합 (A Semi-solid Bonding between Rolled Steel for Structural Parts and Lead Bronze Alloy)

  • 김우열;박홍일;이길근;서원찬
    • Journal of Welding and Joining
    • /
    • 제18권1호
    • /
    • pp.70-76
    • /
    • 2000
  • A rolled steel for structural parts and lead bronze alloy were bonded each other by a new semi-solid diffusion bonding process to investigate the effect of the process parameters, for example bonding temperature and bonding time, on the interface characteristics, and bonding behavior. It can be possible that manufacture of the bonded steel/lead bronze which has a cylindrical shape with inserted the lead bronze alloy into the steel ring by the diffusion bonding process under the semi-solid condition of the lead bronze alloy without any pressure and flux. It has been know that the control of the amount of the liquid phase in semi-solid lead bronze alloy was very important to obtain soundness interface, since the shear strength of the bonded steel/lead bronze at 850℃ for 60 minutes under the condition of about 40% of the liquid phase in the lead bronze alloy shows maximum value, 210 MPa. The shear strength increases with an increase in bonding time and show maximum value, and then decreases.

  • PDF

액상소결삽입재를 이용한 천이액상접합에 관한 연구 (Transient Liquid Phase Bonding with Liquid Phase Sintered Insert Metals)

  • 권영순;석명진;김지순;김환태;문진수
    • 한국분말재료학회지
    • /
    • 제8권4호
    • /
    • pp.258-267
    • /
    • 2001
  • In this work, the conventional transient liquid phase(TLP) bonding was modified. An attempt was made of using a liquid phase sintered alloy, which will be a liquid phase coexisting with a solid phase at the bonding temperature, as an interlayer for bonding metals. With an aim of revealing the fundamental features of this modified TLP bonding, the kinetics concerned with the growth of solid particles and the isothermal solidification process in Fe-1.16wt%B and Fe-4.5wt%P interlayers for the bonding pure iron, as well as the morphological change of the solid particle, were investigated.

  • PDF

실리콘 웨이퍼 직접접합에서 내인성 Bubble의 거동에 관한 연구 (The Behavior of Intrinsic Bubbles in Silicon Wafer Direct Bonding)

  • 문도민;정해도
    • 한국정밀공학회지
    • /
    • 제16권3호통권96호
    • /
    • pp.78-83
    • /
    • 1999
  • The bonding interface is dependent on the properties of surfaces prior to SDB(silicon wafer direct bonding). In this paper, we prepared silicon surfaces in several chemical solutions, and annealed bonding wafers which were combined with thermally oxidized wafers and bare silicon wafers in the temperature range of $600{\times}1000^{\circ}C$. After bonding, the bonding interface is investigated by an infrared(IR) topography system which uses the penetrability of infrared through silicon wafer. Using this procedure, we observed intrinsic bubbles at elevated temperatures. So, we verified that these bubbles are related to cleaning and drying conditions, and the interface oxides on silicon wafer reduce the formation of intrinsic bubbles.

  • PDF

TSV 기반 3차원 반도체 패키지 ISB 본딩기술 (ISB Bonding Technology for TSV (Through-Silicon Via) 3D Package)

  • 이재학;송준엽;이영강;하태호;이창우;김승만
    • 한국정밀공학회지
    • /
    • 제31권10호
    • /
    • pp.857-863
    • /
    • 2014
  • In this work, we introduce various bonding technologies for 3D package and suggest Insert-Bump bonding (ISB) process newly to stack multi-layer chips successively. Microstructure of Insert-Bump bonding (ISB) specimens is investigated with respect to bonding parameters. Through experiments, we study on find optimal bonding conditions such as bonding temperature and bonding pressure and also evaluate in the case of fluxing and no-fluxing condition. Although no-fluxing bonding process is applied to ISB bonding process, good bonding interface at $270^{\circ}C$ is formed due to the effect of oxide layer breakage.

저온 및 고전류밀도 조건에서 전기도금된 구리 박막 간의 열-압착 직접 접합 (Thermal Compression of Copper-to-Copper Direct Bonding by Copper films Electrodeposited at Low Temperature and High Current Density)

  • 이채린;이진현;박기문;유봉영
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2018년도 춘계학술대회 논문집
    • /
    • pp.102-102
    • /
    • 2018
  • Electronic industry had required the finer size and the higher performance of the device. Therefore, 3-D die stacking technology such as TSV (through silicon via) and micro-bump had been used. Moreover, by the development of the 3-D die stacking technology, 3-D structure such as chip to chip (c2c) and chip to wafer (c2w) had become practicable. These technologies led to the appearance of HBM (high bandwidth memory). HBM was type of the memory, which is composed of several stacked layers of the memory chips. Each memory chips were connected by TSV and micro-bump. Thus, HBM had lower RC delay and higher performance of data processing than the conventional memory. Moreover, due to the development of the IT industry such as, AI (artificial intelligence), IOT (internet of things), and VR (virtual reality), the lower pitch size and the higher density were required to micro-electronics. Particularly, to obtain the fine pitch, some of the method such as copper pillar, nickel diffusion barrier, and tin-silver or tin-silver-copper based bump had been utillized. TCB (thermal compression bonding) and reflow process (thermal aging) were conventional method to bond between tin-silver or tin-silver-copper caps in the temperature range of 200 to 300 degrees. However, because of tin overflow which caused by higher operating temperature than melting point of Tin ($232^{\circ}C$), there would be the danger of bump bridge failure in fine-pitch bonding. Furthermore, regulating the phase of IMC (intermetallic compound) which was located between nickel diffusion barrier and bump, had a lot of problems. For example, an excess of kirkendall void which provides site of brittle fracture occurs at IMC layer after reflow process. The essential solution to reduce the difficulty of bump bonding process is copper to copper direct bonding below $300^{\circ}C$. In this study, in order to improve the problem of bump bonding process, copper to copper direct bonding was performed below $300^{\circ}C$. The driving force of bonding was the self-annealing properties of electrodeposited Cu with high defect density. The self-annealing property originated in high defect density and non-equilibrium grain boundaries at the triple junction. The electrodeposited Cu at high current density and low bath temperature was fabricated by electroplating on copper deposited silicon wafer. The copper-copper bonding experiments was conducted using thermal pressing machine. The condition of investigation such as thermal parameter and pressure parameter were varied to acquire proper bonded specimens. The bonded interface was characterized by SEM (scanning electron microscope) and OM (optical microscope). The density of grain boundary and defects were examined by TEM (transmission electron microscopy).

  • PDF

열압착 접합 조건에 따른 경·연성 인쇄회로기판 간 Sn-58Bi 무연솔더 접합부의 기계적 특성 (Effects of Bonding Conditions on Mechanical Strength of Sn-58Bi Lead-Free Solder Joint using Thermo-compression Bonding Method)

  • 최지나;고민관;이상민;정승부
    • 마이크로전자및패키징학회지
    • /
    • 제20권2호
    • /
    • pp.17-22
    • /
    • 2013
  • 본 연구에서는 Sn-58Bi 솔더를 이용한 경성 인쇄 회로 기판 (Rigid printed circuit board, RPCB)과 연성 인쇄회로 기판 (Flexible printed circuit board, FPCB) 간의 열압착 접합 시, 접합 조건에 따른 기계적 특성에 대하여 연구하였다. 접합 온도와 접합 시간을 변수로 열압착 접합을 실시하여 $90^{\circ}$ 필 테스트(Peel test)를 통해 접합 강도를 측정하고, 단면과 파단면을 관찰하였다. 접합 온도가 증가할수록 접합 강도가 증가하였으며, 접합 시간에 따른 접합 강도의 변화 또한 관찰할 수 있었다. 접합 시간이 증가하면서 접합부의 파괴에 영향을 미치는 요인이 솔더 층에서 금속간 화합물(Intermetallic compound, IMC) 층으로 변화하는 것을 관찰할 수 있었다. 필 테스트 과정의 F-x(Force-distance) curve를 통해 파괴 에너지를 계산하여 금속간 화합물이 접합 강도에 미치는 영향을 평가하였으며, 본 연구에서 $195^{\circ}C$, 7초 조건이 접합 강도와 파괴 에너지가 가장 높게 나타나는 최적 접합 조건으로 도출되었다.

3차원 소자 집적을 위한 Cu-Cu 접합의 계면접착에너지에 미치는 후속 열처리의 영향 (Effect of Post-Annealing Conditions on Interfacial Adhesion Energy of Cu-Cu Bonding for 3-D IC Integration)

  • 장은정;;;;현승민;이학주;박영배
    • 한국재료학회지
    • /
    • 제18권4호
    • /
    • pp.204-210
    • /
    • 2008
  • $1.5\;{\mu}m$-thick copper films deposited on silicon wafers were successfully bonded at $415^{\circ}C$/25 kN for 40 minutes in a thermo-compression bonding method that did not involve a pre-cleaning or pre-annealing process. The original copper bonding interface disappeared and showed a homogeneous microstructure with few voids at the original bonding interface. Quantitative interfacial adhesion energies were greater than $10.4\;J/m^2$ as measured via a four-point bending test. Post-bonding annealing at a temperature that was less than $300^{\circ}C$ had only a slight effect on the bonding energy, whereas an oxygen environment significantly deteriorated the bonding energy over $400^{\circ}C$. This was most likely due to the fast growth of brittle interfacial oxides. Therefore, the annealing environment and temperature conditions greatly affect the interfacial bonding energy and reliability in Cu-Cu bonded wafer stacks.