• 제목/요약/키워드: X-ray lithography

검색결과 73건 처리시간 0.022초

X-선 노광용 마스크 제작공정에 관한 연구 (A Study on the Mask Fabrication Process for X-ray Lithography)

  • 박창모;우상균;이승윤;안진호
    • 마이크로전자및패키징학회지
    • /
    • 제7권2호
    • /
    • pp.1-6
    • /
    • 2000
  • X-선 노광용 마스크의 재료로서 SiC와 Ta박막을 각각 ECR플라즈마 CVD, 스퍼터링 장비를 이용하여 증착한 뒤 잔류응력, 미세구조, 표면상태, 그리고 화학적 결합상태 등을 조사하였고, ECR etching system을 이용하여 Ta박막 미세 식각 특성을 연구하였다. SiC박막은 $N_2$분위기에서 RTA를 통하여 X-선 투과막 물질로서 필요한 적절한 인장응력을 변화 시킬 수 있었고, 공정 압력을 조절하여 증착한 Ta박막은 높은 밀도와 우수한 표면 평활도를 가지고 시간과 온도에 따른 응력의 안정성이 좋은 X-선 흡수체를 증착할 수 있었다. 또한 Cl 플라즈마는 흡수체 물질 Ta에 대해 좋은 식각특성을 보였고, two-step 식각을 통해 microloading effect를 억제함으로써 0.2 $\mu\textrm{m}$이하의 미세패턴을 식각해 낼 수 있었다.

  • PDF

동축 플라즈마 집속장치에서의 x-선 방출에 관한 연구 (The study on X-ray generation in the Coaxial Plasma focus Device)

  • 엄영현
    • 한국광학회:학술대회논문집
    • /
    • 한국광학회 1989년도 제4회 파동 및 레이저 학술발표회 4th Conference on Waves and lasers 논문집 - 한국광학회
    • /
    • pp.65-69
    • /
    • 1989
  • Mather type dense plasma focus device was develooped for the feasibili쇼 study in its application to the x-ray lithography. To etermine the electrical characteristics,the temporal begavior of the discharge current and the voltage was measured by using the Rogowski coil and the high voltage probe respectively. The results are 9 $\mu\textrm{s}$ of the period, 18m$\Omega$ of resistance and 0.16$\mu$Η of inductance. The average current sheath velocity was measured by the light signal emitted at the moving plasma sheath. The light signal was detected through two fiber bundles. When the applied voltage was 13 kV and the initial jpressure of argon was 21.8 Pa, the best plasma focus was occurred. The x-ray emission characteristics from the plasma focus was determined by the x-ray pictures taken by pinhole camera. It is focus that the plasma was focused at 1.4 cm distant position above the center electrode and its diameter was about 1.0 m.

  • PDF

LIGA공정을 이용한 정밀 고분자 광도파로 제작 (Fabrication of Polymeric Optical Waveguide by LIGA)

  • 김진태;김병철;최춘기;윤근병;정명영
    • 대한기계학회논문집A
    • /
    • 제27권6호
    • /
    • pp.997-1006
    • /
    • 2003
  • LICA technique evolved as a basic fabrication process fur micro-structure. The present report deals with the basic technological features in the sequence of the LIGA technique such as deep x-ray lithography(DXRL), electroplating, and moulding processes at Pohang Light Source (PLS). We designed 3-D structured master for fabrication of polymeric optical wavegude and manufactured polymeric optical wavegude with the same using hot embossing process. Polymeric optical waveguide could be produced with ${\pm}$ 1 $\mu\textrm{m}$ accuracy and good surface roughness.

초소형 정밀 가공 기술 및 이를 이용한 정밀 사출품 제작 기술 (LIGA Technology and Its Application to Micro-molding)

  • 박순섭;정석원;조진우;제태진;권태헌
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2000년도 춘계학술대회 논문집
    • /
    • pp.1043-1046
    • /
    • 2000
  • By combination of X-ray lithography, electroplating and molding process, various microstructures have been produced. Specially, the alignment grooves for multi-fibers with submicron accuracy have been produced. This paper described a fabrication process of LICA molds and micro molding technique by using LIGA molds. The accuracy of the fabricated LIGA molds was less than 0.4${\mu}{\textrm}{m}$. The injection molded alignment grooves were very precise and had me surface roughness so that they could be successfully applicable to optical systems.

  • PDF

반도체 공정용 리소그래피 기술의 최근 동향 (Recent Trends of Lithographic Technology)

  • 정태진;유종준
    • 전자통신동향분석
    • /
    • 제13권5호통권53호
    • /
    • pp.38-52
    • /
    • 1998
  • Phase-shifting masks (PSM), optical proximity correction (OPC), off-axis illumination (OAI), annular illumination (AI)의 리소그래피 분해능 향상 기법과 deep ultraviolet photoresist의 개발 및 리소그래피의 최근 기술 동향을 요약 소개한다. DUV 리소그래피의 대안으로 관심을 끌고 있는 scattering with angular limitation projection electron-beam lithography (SCALPEL), extreme ultraviolet lithography (EUVL), X-ray lithography (XRL), ion projection lithography (IPL) 등의 새로운 리소그래피 기술들의 기본 원리와 최근 기술 동향도 소개하였다. 리소그래피는 반도체 공정에 있어서 가장 중요한 부분을 차지하기 때문에 리소그래피의 최근 기술 동향을 검토해 봄으로써 국내 리소그래피 장비 산업의 기술 개발을 위한 방향 설정에 도움이 될 것으로 생각한다.

실리콘 질화막을 이용한 X-ray Lithography마스크용 박막물질의 개발 (Development of $\textrm{SiN}_{x}$-based Membrane for X-ray Lithography Mask Application)

  • 이태호;정창영;이규한;이승윤;안진호
    • 한국재료학회지
    • /
    • 제7권5호
    • /
    • pp.417-422
    • /
    • 1997
  • 본 연구에서는 LPCVD, PECVD, ECR plasma CVD방법을 이용하여 x선 노광 공정용 마스크의 투과막재료로써의 실리콘질화막의 증착과 그의 물성에 관하여 실험하였다. X선 노광 마스크용 투과막의 재질로써 요구되는 적정인장응력에 가지는 증착조건으로 실리콘질화막을 1$\mu\textrm{m}$정도의 두께로 증착하였으며 이 조건에서의 물성을 SIMS, XPS, ESR, AFM, spectrophoto-metry를 이용하여 비교 분석하였다. ECR plasma CVD방법으로 얻은 실리콘 질화막은 화학양론적 조성(Si/N=0.75)에 근접하는 막을 얻을 수 있었으며 표면 평활도와 가시광투과도가 가장 우수한 결과를 얻었다. 저온 증착법인 PECVD로 얻은 막은 Si/N비가 약 0.86정도이고 산소와 수소의 불순물함량이 가장 높게 나타났다. SiH$_{2}$CI$_{2}$를 이용한 LPCVD막의 경우는 Si-rich조성을 가지지만 수소 불순물의 함량이 가장 작게 나타났고 표면거칠기는 가장 나쁘게 나타났다. 그러나 위의 방법으로 얻은 실리콘 질화막의 최대 가시광투과도는 633nm파장에서 모두 90%이상의 값을 나타내었고, 또한 표면 평활도도 0.64-2.6nm(rms)로 현재 연구되고 있는 다른 X선 투과막재료보다 월등히 우수한 결과를 보였다.

  • PDF

LIGA process를 이용한 micro CPL(Capillary Pumped Loop)제작 (manufacturing micro CPL (Capillary Pumped Loop)by using LIGA process)

  • 조진우;정석원;박준식;박순섭
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2001년도 하계학술대회 논문집 C
    • /
    • pp.1881-1883
    • /
    • 2001
  • We manufactured a micro CPL by LlGA process, a new conceptual ultra-fine and precise forming method, using X-ray lithography process. We fabricated a BN X-ray mask having properties of good X-ray transmittance and large mechanical strength. Micro CPL was manufactured by dividing into an upper plate and a low plate. Each of plates was bonded by Ag paste screen printing. The upper plate was fabricated on glass wafer to observe flow and phase transformation of cooling solution. The lower plate was manufactured by Cu electroplating for good heat transmission. Precision of inner Parts, micro pin and micro channel, of manufactured micro CPL is under ${\pm}2{\mu}m$.

  • PDF

3차원 LIGA 미세구조물 제작을 위한 마이크로 액추에이터 내장형 X-선 마스크 (Deep X-ray Mask with Integrated Micro-Actuator for 3D Microfabrication via LIGA Process)

  • 이광철;이승섭
    • 대한기계학회논문집A
    • /
    • 제26권10호
    • /
    • pp.2187-2193
    • /
    • 2002
  • We present a novel method for 3D microfabrication with LIGA process that utilizes a deep X-ray mask in which a micro-actuator is integrated. The integrated micro-actuator oscillates the X-ray absorber, which is formed on the shuttle mass of the micro-actuator, during X-ray exposures to modify the absorbed dose profile in X-ray resist, typically PMMA. 3D PMMA microstructures according to the modulated dose contour are revealed after GG development. An X-ray mask with integrated comb drive actuator is fabricated using deep reactive ion etching, absorber electroplating, and bulk micromachining with silicon-on-insulator (SOI) wafer. 1mm $\times$ 1 mm, 20 $\mu$m thick silicon shuttle mass as a mask blank is supported by four 1 mm long suspension beams and is driven by the comb electrodes. A 10 $\mu$m thick, 50 $\mu$m line and spaced gold absorber pattern is electroplated on the shuttle mass before the release step. The fundamental frequency and amplitude are around 3.6 kHz and 20 $\mu$m, respectively, for a do bias of 100 V and an ac bias of 20 $V_{p-p}$ (peak-peak). Fabricated PMMA microstructure shows 15.4 $\mu$m deep, S-shaped cross section in the case of 1.6 kJ $cm^{-3}$ surface dose and GG development at 35$^{\circ}C$ for 40 minutes.

ZnO 나노 입자 분산 레진의 thermal imprinting 공정을 통한 기능성 패턴 제작 (Fabrication of Functional ZnO Nano-particles Dispersion Resin Pattern Through Thermal Imprinting Process)

  • 권무현;이헌
    • 한국정밀공학회지
    • /
    • 제28권12호
    • /
    • pp.1419-1424
    • /
    • 2011
  • Nanoimprint lithography is a next generation lithography technology, which enables to fabricate nano to micron-scale patterns through simple and low cost process. Nanoimprint lithography has been applied in various industry fields such as light emitting diodes, solar cells and display. Functional patterns, including anti-reflection moth-eye pattern, photonic crystal pattern, fabricated by nanoimprint lithography are used to improve overall efficiency of devices in that fields. For these reasons, in this study, sub-micron-scaled functional patterns were directly fabricated on Si and glass substrates by thermal imprinting process using ZnO nano-particles dispersion resin. Through the thermal imprinting process, arrays of sub-micron-scaled pillar and hole patterns were successfully fabricated on the Si and glass substrates. And then, the topography, components and optical property of the imprinted ZnO nano-particles/resin patterns are characterized by Scanning Electron Microscope, Energy-dispersive X-ray spectroscopy and UV-vis spectrometer, respectively.

고밀도 플라즈마에 의한 EUV 발생기술 (EUV Generation by High Density Plasma)

  • 진윤식;이홍식;김광훈;서길수;임근희
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2000년도 하계학술대회 논문집 C
    • /
    • pp.2092-2094
    • /
    • 2000
  • As a next generation lithography (NGL) technology for VLSI semiconductor fabrication, electron beam, ion beam, X-ray and extreme ultraviolet(EUV) are considered as possible candidates. Among these methods, EUV lithography(EUVL) is thought to be the most probable because it is easily realized by improving current optical lithography technology. In order to set EUV radiation which can be applied to EUVL, it is essential to generate very high density and high temperature plasma stably. The method using a pulse power laser and a high voltage pulse discharge is commonly used to accomplish such a high density and high temperature plasma. In this paper we review the recent trends of the EUV generation technique by high density and high temperature plasma.

  • PDF