• 제목/요약/키워드: Trench process

검색결과 193건 처리시간 0.027초

고 전력 DMOSFET 응용을 위한 트렌치 게이트 형성에 관한 연구 (A Study on the Formation of Trench Gate for High Power DMOSFET Applications)

  • 박훈수;구진근;이영기
    • 한국전기전자재료학회논문지
    • /
    • 제17권7호
    • /
    • pp.713-717
    • /
    • 2004
  • In this study, the etched trench properties including cross-sectional profile, surface roughness, and crystalline defects were investigated depending on the various silicon etching and additive gases, For the case of HBr$He-O_2SiF_4$ trench etching gas mixtures, the excellent trench profile and minimum defects in the silicon trench were achieved. Due to the residual oxide film grown by the additive oxygen gas, which acts as a protective layer during trench etching, the undercut and defects generation in the trench were suppressed. To improve the electrical characteristics of trench gate, the hydrogen annealing process after trench etching was also adopted. Through the hydrogen annealing, the trench corners might be rounded by the silicon atomic migration at the trench corners having high potential. The rounded trench corner can afford to reduce the gate electric field and grow a uniform gate oxide. As a result, dielectric strength and TDDB characteristics of the hydrogen annealed trench gate oxide were remarkably increased compared to the non-hydrogen annealed one.

트렌치 게이트 IGBT 에서의 공정 및 설계 파라미터에 따른 항복 전압 특성에 관한 연구 (A Study on the Breakdown Voltage Characteristics with Process and Design Parameters in Trench Gate IGBT)

  • 신호현;이한신;성만영
    • 한국전기전자재료학회논문지
    • /
    • 제20권5호
    • /
    • pp.403-409
    • /
    • 2007
  • In this paper, effects of the trench angle($\theta$) on the breakdown voltage according to the process parameters of p-base region and doping concentrations of n-drift region in a Trench Gate IGBT (TIGBT) device were analyzed by computer simulation. Processes parameters used by variables are diffusion temperature, implant dose of p-base region and doping concentration of n-drift region, and aspects of breakdown voltage change with change of each parameter were examined. As diffusion temperature of the p-base region increases, depth of the p-base region increases and effect of the diffusion temperature on the breakdown voltage is very low in the case of small trench angle($45\;^{\circ}$) but that is increases 134.8 % in the case of high trench angle($90\;^{\circ}$). Moreover, as implant dose of the p-base region increases, doping concentration of the p-base region increases and effect of the implant dose on the breakdown voltage is very low in the case of small trench angle($45\;^{\circ}$) but that is increases 232.1 % in the case of high trench angle($90\;^{\circ}$). These phenomenons is why electric field concentrated in the trench is distributed to the p-base region as the diffusion temperature and implant dose of the p-base increase. However, effect of the doping concentration variation in the n-drift region on the breakdown voltage varies just 9.3 % as trench angle increases from $45\;^{\circ}$ to $90\;^{\circ}$. This is why magnitude of electric field concentrated in the trench changes, but direction of that doesn't change. In this paper, respective reasons were analyzed through the electric field concentration analysis by computer simulation.

내압특성개선을 위한 트렌치 필드링 설계 및 전기적특성에 관한 연구 (A Study on Electrical Characteristics of Trench Field Ring for Breakdown Characteristics)

  • 강이구;김범준;이용훈
    • 한국전기전자재료학회논문지
    • /
    • 제23권1호
    • /
    • pp.1-5
    • /
    • 2010
  • In this paper, we proposed trench field ring for breakdown voltage of power devices. The proposed trench field ring was improved 10% efficiency comparing with conventional field ring. we analyzed five parameters of trench field ring for design of trench field ring and carried out 2-D devices simulation and process simulations. That is, we analyzed number of field ring, juction depth, distance of field rings, trench width, doping profield. The proposed trench field ring was better to more 1000 V.

Effect of a Multi-Step Gap-Filling Process to Improve Adhesion between Low-K Films and Metal Patterns

  • Lee, Woojin;Kim, Tae Hyung;Choa, Yong-Ho
    • 한국재료학회지
    • /
    • 제26권8호
    • /
    • pp.427-429
    • /
    • 2016
  • A multi-step deposition process for the gap-filling of submicrometer trenches using dimethyldimethoxysilane (DMDMOS), $(CH_3)_2Si(OCH_3)_2$, and $C_xH_yO_z$ by plasma enhanced chemical vapor deposition (PECVD) is presented. The multi-step process consisted of pre-treatment, deposition, and post-treatment in each deposition step. We obtained low-k films with superior gap-filling properties on the trench patterns without voids or delamination. The newly developed technique for the gap-filling of submicrometer features will have a great impact on inter metal dielectric (IMD) and shallow trench isolation (STI) processes for the next generation of microelectronic devices. Moreover, this bottom up gap-fill mode is expected to be universally for other chemical vapor deposition systems.

Super Juction MOSFET의 공정 설계 최적화에 관한 연구 (Optimal Process Design of Super Junction MOSFET)

  • 강이구
    • 한국전기전자재료학회논문지
    • /
    • 제27권8호
    • /
    • pp.501-504
    • /
    • 2014
  • This paper was developed and described core-process to implement low on resistance which was the most important characteristics of SJ (super junction) MOSFET. Firstly, using process-simulation, SJ MOSFET optimal structure was set and developed its process flow chart by repeated simulation. Following process flow, gate level process was performed. And source and drain level process was similar to genral planar MOSFET, so the process was the same as the general planar MOSFET. And then to develop deep trench process which was main process of the whole process, after finishing photo mask process, we developed deep trench process. We expected that developed process was necessary to develop SJ MOSFET for automobile semiconductor.

유도결합 $Cl_2$$HBr/Cl_2$ 플라즈마를 이용한 STI용 실리콘 Shallow trench 식각공정에 관한 연구 (A study on the silicon shallow trench etch process for STI using inductively coupled $Cl_2$ and TEX>$HBr/Cl_2$ plasmas)

  • 이주훈;이영준;김현수;이주욱;이정용;염근영
    • 한국진공학회지
    • /
    • 제6권3호
    • /
    • pp.267-274
    • /
    • 1997
  • 고밀도 유도결합 $Cl_2$ 및 HBr/$Cl_2$ 플라즈마를 이용하여 차세대 반도체 집적회로에 사용가능한 STI(Shallow Trench Isolation)구조에서 trench 식각시 trench etch profile 및 격자손상에 영향을 미치는 공정변수의 효과에 대하여 연구하였다. 식각결과 $Cl_2$만을 사용한 경우에는 trench 식각공정 동안 화학적 측면식각의 증가로 인하여 등방성 식각이 얻어지고 이는 유도입력 전력이 증가하고 바이어스 전압이 감소함에 따라 이의 경향이 증가하였다. 측면식각의 정도는 $Cl_2$$N_2$$O_2$의 첨가에 따라 감소하였다. 순수 HBr을 사용한 경우에 있어서는 Br 라디칼이 Cl 라디칼에 비하여 자발적인 실리콘 식각의 민감도가 감소하여 positive angle의 식각형상이 얻어졌으며 HBr내에 $Cl_2$의 증가에 따라 이방성 식각이 얻어졌 다. 물리적인 격자손상을 투과전자현미경으로 관찰한 결과 <$Cl_2/N_2$및 HBr을 함유한 식각가 스를 사용한 경우에 trench표면에서 결함이 관찰되었다.

  • PDF

STI(Shallow Trench Isolation) 공정에서 Torn Oxide Defect 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defect in STI(Shallow Trench Isolation)Process)

  • 김상용;서용진;김태형;이우선;정헌상;김창일;장의구
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.723-725
    • /
    • 1998
  • STI CMP process are substituting gradually for LOCOS(Local Oxidation of Silicon) process to be available below sub-0.5um technology and to get planarized. The other hand, STI CMP process(especially STI CMP with RIE etch back process) has some kinds of defect like Nitride residue, Torn Oxide defect, etc. In this paper, we studied how to reduce Torn Oxide defects after STI CMP with RIE etch back process. Although Torn Oxide defects which occur on Oxide on Trench area is not deep and not sever, Torn oxide defects on Moat area is sometimes very deep and makes the yield loss. We did test on pattern wafers witch go through Trench process, APCVD process, and RIE etch back process by using an REC 472 polisher, IC1000/SUV A4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the root causes of torn oxide defects.

  • PDF

Trench Gate 구조를 가진 Power MOSFET의 Etch 공정 온 저항 특성 (Rds(on) Properties of Power MOSFET of Trench Gate in Etch Process)

  • 김권제;양창헌;권영수;신훈규
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2010년도 하계학술대회 논문집
    • /
    • pp.389-389
    • /
    • 2010
  • In this paper, an investigation of the benefits of gate oxide for 8" the manufacturing of Trench MOSFETs and its impact on device performance is presented. Layout dimensions of trench power MOSFETs have been continuously reduced in order to decrease the specific on-resistance, maintaining equal vertical dimensions. We discuss experimental results for devices with a pitch size down fabricated with an unconventional gate trench topology and a simplified manufacturing scheme. The fabricated Trench MOSFETs are observed the trench gate oxidation by SEM.

  • PDF

고 내압 전력 소자 설계를 위한 필드 링 최적화에 관한 연구 (Optimal Design of Field Ring for Power Devices)

  • 강이구
    • 전기전자학회논문지
    • /
    • 제14권3호
    • /
    • pp.199-204
    • /
    • 2010
  • 본 논문에서는 전력반도체의 내압을 유지하는데 있어서 가장 중요한 필드 링의 개선을 위해 새로운 구조의 필드 링을 제안하였다. 제안한 트렌치 필드 링은 기존의 일반 필드 링에 비해 10%이상 효율을 개선하였다. 트렌치 필드 링의 설계를 위해 5가지의 변수를 두고 최적화 시뮬레이션을 수행하였으며, 수행한 파라미터 결과를 가지고 마스크를 설계하여 제작을 진행하였다. 내압이 증가하면 증가할 수록 트렌치 필드링이 일반 필드 링보다 더 좋은 결과를 가져올 수 있었다. 이러한 결과는 앞으로 전력반도체 소자인 IGBT, Power MOS 및 MCT 소자의 설계에 충분히 활용할 수 있을 것으로 판단된다.

A Novel Process for Fabricating High Density Trench MOSFETs for DC-DC Converters

  • Kim, Jong-Dae;Roh, Tae-Moon;Kim, Sang-Gi;Park, Il-Yong;Yang, Yil-Sulk;Lee, Dae-Woo;Koo, Jin-Gun;Cho, Kyoung-Ik;Kang, Young-Il
    • ETRI Journal
    • /
    • 제24권5호
    • /
    • pp.333-340
    • /
    • 2002
  • We propose a new process technique for fabricating very high-density trench MOSFETs using 3 mask layers with oxide spacers and a self-aligned technique. This technique reduces the device size in trench width, source, and p-body region with a resulting increase in cell density and current driving capability as well as cost-effective production capability. We were able to obtain a higher breakdown voltage with uniform oxide grown along the trench surface. The channel density of the trench DMOSFET with a cell pitch of 2.3-2.4 ${\mu}m$ was 100 Mcell/$in^2$ and a specific on-resistance of 0.41 $m{\Omega}{\cdot}cm^2$ was obtained under a blocking voltage of 43 V.

  • PDF