• Title/Summary/Keyword: Surface cleaning

Search Result 786, Processing Time 0.031 seconds

A study on cleaning performance of particles on collection plates using an electrodynamic screen (전기역학적 스크린을 이용한 집진판 표면 위 입자 세정성능 연구)

  • Yunhui Joe;Dongho Shin;Younghun Kim;Inyong Park;Sang Bok Kim;Gunhee Lee;Bangwoo Han
    • Particle and aerosol research
    • /
    • v.19 no.3
    • /
    • pp.63-76
    • /
    • 2023
  • An electrostatic precipitator (ESP) has a low pressure drop and a high collection efficiency but its collection efficiency can be reduced due to dust accumulation on the collection plates during long-term operations. In order to maintain the initial dust collection efficiency, it is necessary to periodically clean the collection plates. The common cleaning methods are using physical impacts or water sprays. These cleaning methods can lead to damage to the collection plate or generate wastewater. Herein, we implemented an electrodynamic screen (EDS) for ESP cleaning and evaluated its surface cleaning performance of particles. The EDS is an electrostatic system that can electrostatically repel particles on surfaces, allowing it to clean the ESP without causing damage and wastewater generation. Our evaluation included the analysis of the effects of AC voltage characteristics, electrode configuration and environmental conditions on the cleaning performance of the EDS with the aim of achieving effective surface cleaning. It has been demonstrated that activating the EDS cleans up to 65% of the particles on the surface, which indicates about 94% of our target cleaning zone.

Mechanical removal of surface residues on graphene for TEM characterizations

  • Dong-Gyu Kim;Sol Lee;Kwanpyo Kim
    • Applied Microscopy
    • /
    • v.50
    • /
    • pp.28.1-28.6
    • /
    • 2020
  • Contamination on two-dimensional (2D) crystal surfaces poses serious limitations on fundamental studies and applications of 2D crystals. Surface residues induce uncontrolled doping and charge carrier scattering in 2D crystals, and trapped residues in mechanically assembled 2D vertical heterostructures often hinder coupling between stacked layers. Developing a process that can reduce the surface residues on 2D crystals is important. In this study, we explored the use of atomic force microscopy (AFM) to remove surface residues from 2D crystals. Using various transmission electron microscopy (TEM) investigations, we confirmed that surface residues on graphene samples can be effectively removed via contact-mode AFM scanning. The mechanical cleaning process dramatically increases the residue-free areas, where high-resolution imaging of graphene layers can be obtained. We believe that our mechanical cleaning process can be utilized to prepare high-quality 2D crystal samples with minimum surface residues.

A study on the RE/DC discharge cleaning for high vacuum SUS chamber (RF/DC 방전을 이용한 고 진공용SUS 용기세정에 관한 연구)

  • 김정형;임종연;서인용;정광화
    • Journal of the Korean Vacuum Society
    • /
    • v.10 no.3
    • /
    • pp.298-302
    • /
    • 2001
  • Cleaning effect of RF/DC discharge to clean the surface of vacuum chamber was studied for various discharge conditions. Glow discharge cleaning without baking reduced the outgassing rate to 1/2, which was similar to that after the only baking treatment alone. Glow discharge cleaning treatment with baking improved the cleaning efficiency and then the outgassing rate was remarkably reduced to 1/20. It was found that the ion energy and the ion density were important factors in cleaning the surface. RF discharge cleaning was more effective than BC discharge cleaning.

  • PDF

Chemical cleaning effects on properties and separation efficiency of an RO membrane

  • Tu, Kha L.;Chivas, Allan R.;Nghiem, Long D.
    • Membrane and Water Treatment
    • /
    • v.6 no.2
    • /
    • pp.141-160
    • /
    • 2015
  • This study aims to investigate the impacts of chemical cleaning on the performance of a reverse osmosis membrane. Chemicals used for simulating membrane cleaning include a surfactant (sodium dodecyl sulfate, SDS), a chelating agent (ethylenediaminetetraacetic acid, EDTA), and two proprietary cleaning formulations namely MC3 and MC11. The impact of sequential exposure to multiple membrane cleaning solutions was also examined. Water permeability and the rejection of boron and sodium were investigated under various water fluxes, temperatures and feedwater pH. Changes in the membrane performance were systematically explained based on the changes in the charge density, hydrophobicity and chemical structure of the membrane surface. The experimental results show that membrane cleaning can significantly alter the hydrophobicity and water permeability of the membrane; however, its impacts on the rejections of boron and sodium are marginal. Although the presence of surfactant or chelating agent may cause decreases in the rejection, solution pH is the key factor responsible for the loss of membrane separation and changes in the surface properties. The impact of solution pH on the water permeability can be reversed by applying a subsequent cleaning with the opposite pH condition. Nevertheless, the impacts of solution pH on boron and sodium rejections are irreversible in most cases.

Removal of Cu and Fe Impurities on Silicon Wafers from Cleaning Solutions (세정액에 따른 실리콘 웨이퍼의 Cu 및 Fe 불순물 제거)

  • Kim, In-Jung;Bae, So-Ik
    • Korean Journal of Materials Research
    • /
    • v.16 no.2
    • /
    • pp.80-84
    • /
    • 2006
  • The removal efficiency of Cu and Fe contaminants on the silicon wafer surface was examined to investigate the effect of cleaning solutions on the behavior of metallic impurities. Silicon wafers were intentionally contaminated with Cu and Fe solutions by spin coating and cleaned in different types of cleaning solutions based on $NH_4OH/H_2O_2/H_2O\;(SC1),\;H_2O_2/HCl/H_2O$ (SC2), and/or HCl/$H_2O$ (m-SC2) mixtures. The concentration of metallic contaminants on the silicon wafer surface before and after cleaning was analyzed by vapor phase decomposition/inductively coupled plasma-mass spectrometry (VPD/ICP-MS). Cu ions were effectively removed both in alkali (SC1) and in acid (SC2) based solutions. When $H_2O_2$ was not added to SC2 solution like m-SC2, the removal efficiency of Cu impurities was decreased drastically. The efficiency of Cu ions in SC1 was not changed by increasing cleaning temperature. Fe ions were soluble only in acid solution like SC2 or m-SC2 solution. The removal efficiencies of Fe ions in acid solutions were enhanced by increasing cleaning temperature. It is found that the behavior of metallic contaminants as Cu and Fe from silicon surfaces in cleaning solutions could be explained in terms of Pourbaix diagram.

Effect of Chemical Mechanical Cleaning(CMC) on Particle Removal in Post-Cu CMP Cleaning (구리 CMP 후 연마입자 제거에 화학 기계적 세정의 효과)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.33 no.10
    • /
    • pp.1023-1028
    • /
    • 2009
  • Cleaning is required following CMP (chemical mechanical planarization) to remove particles. The minimization of particle residue is required with each successive technology generation, and the cleaning of wafers becomes more complicated. In copper damascene process for interconnection structure, it utilizes 2-step CMP consists of Cu and barrier CMP. Such a 2-steps CMP process leaves a lot of abrasive particles on the wafer surface, cleaning is required to remove abrasive particles. In this study, the chemical mechanical cleaning(CMC) is performed various conditions as a cleaning process. The CMC process combined mechanical cleaning by friction between a wafer and a pad and chemical cleaning by CMC solution consists of tetramethyl ammonium hydroxide (TMAH) / benzotriazole (BTA). This paper studies the removal of abrasive on the Cu wafer and the cleaning efficiency of CMC process.

The Effect of Blast Cleaning for Steel Bridge Painting on Fatigue Behavior of Out-of-Plane Gusset Welded Joints (강교 도장용 블라스트 처리가 면외거셋 용접이음의 피로거동에 미치는 영향)

  • Kim, In Tae;Le, Van Phuoc Nhan;Kim, Kwang Jin;Lee, Dong Uk
    • Journal of Korean Society of Steel Construction
    • /
    • v.20 no.5
    • /
    • pp.583-590
    • /
    • 2008
  • Blasting has been applied in newly-built steel structures for cleaning forged surfaces and increasing the adhesive property of applied painting systems. However, the effect of the blast cleaning on fatigue behavior of welded joints is not clear. In this paper, fatigue tests were carried out on out-of-plane gusset welded joints and the effect of the blast cleaning on the fatigue behavior was studied. The curvature radius at the weld toe of the surface-treated specimens by using the blast method is larger than that of as-welded specimens. By the blast cleaning compressive residual stresses were induced into weld toes. The experimental results showed that the fatigue life of surface-treated specimens is longer than that of as-welded specimens, even though the fatigue life of surface-treated specimens and that of as-welded specimens are not clearly different in the high stress range. About a 160% increase in fatigue limit could be realized by using blast cleaning.

Effect of PVA Brush Contamination on Post-CMP Cleaning Performance (Post-CMP Cleaning에서 PVA 브러시 오염이 세정 효율에 미치는 영향)

  • Cho, Han-Chul;Yuh, Min-Jong;Kim, Suk-Joo;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.2
    • /
    • pp.114-118
    • /
    • 2009
  • PVA (polyvinyl alcohol) brush cleaning method is a typical cleaning method for semiconductor cleaning process especially post-CMP cleaning. PVA brush contacts with the wafer surface and abrasive particle, generating the contact rotational torque of the brush, which is the removal mechanism. The brush rotational torque can overcome theoretically the adhesion force generated between the abrasive particle and wafer by zeta potential. However, after CMP (chemical mechanical polishing) process, many particles remained on the wafer because the brush was contaminated in previous post-CMP cleaning step. The abrasive particle on the brush redeposits to the wafer. The level of the brush contamination increased according to the cleaning run time. After cleaning the brush, the level of wafer contamination dramatically decreased. Therefore, the brush cleanliness effect on the cleaning performance and it is important for the brush to be maintained clearly.

Development of Aqueous/Semi-Aqueous Cleaning Agent and its Field Application to Cleaning Process of Electronic Parts (수계/준수계 세정제의 개발 및 전자부품 세정공정 현장적용 연구)

  • Kim, Han-Seong;Cha, An-Jeong;Bae, Jae-Heum;Lee, Ha-Yeoul;Lee, Myung-Jin;Park, Byeong-Deog
    • Clean Technology
    • /
    • v.10 no.2
    • /
    • pp.61-72
    • /
    • 2004
  • In this study, aqueous/semi-aqueous cleaning agents which consist of organic solvent, surfactant, cosurfactant, and water were developed by changing formulation parameters such as organic solvent type and contents, surfactant type and contents, and cosurfactant/surfactant(A/S) ratio, etc.. And physical properties and flux removal of the formulated cleaning agents have been evaluated. Also, the performance of oil-water separation from the rinse water contaminated during the cleaning process was evaluated for its recycling. The formulated cleaning agents in this work expected to have good penetration because of their low viscosity and low surface tension values of 30.2~32.5 dyne/cm. The flux removal with the terpene type cleaning agent was higher than that with hydrocarbon type cleaning agent and two commercial products (CPA(commercial product A), CPB(commercial product B)). And the performance of oil-water separation by gravity settling from the rinse water contaminated with formulated cleaning agent and soils was shown to be very good. The cleaning agents developed in this work were applied to surface mounting technology(SMT) cleaning process for manufacturing electronic parts at L electronic company. As a result, the newly developed cleaning agents showed two times better cleaning speed for removal of solder cream than the conventional ond containing ethanol and IPA(isopropyl alcohol). In addition, malodor and VOC problems generated by the previous organic cleaning agents have been solved in the manufacturing field through introduction of the non-volatile and environmental-friendly cleaning agents to the field.

  • PDF

Wet Cleaning Process for Cobalt Salicide (코발트살리사이드를 위한 습식세정 공정)

  • 정성희;송오성
    • Journal of the Korean institute of surface engineering
    • /
    • v.35 no.6
    • /
    • pp.377-382
    • /
    • 2002
  • We investigated the appropriate wet cleaning process for Co-Ti-Si compounds formed on top of cobalt disilicide made from Co/Ti deposition and two rapid thermal annealing (RTA). We employed three wet cleaning processes, WP1 ($H_2$SO$_4$ etchant), WP2 ($NH_4$OH etchant), and WP3 which execute sequentially WP1 and WP2 after the first RTA. All samples were cleaned with BOE etchant after the second RTA. We characterized the sheet resistance with process steps by a four-point probe, the microstructure evolution by a cross detail sectional transmission electron microscope, a Auger depth profiler, and a X-ray diffractometer (XRD). We confirmed WP3 wet cleaning process were the most suitable to remove CoTiSi layer selectively.