• Title/Summary/Keyword: Silicon etching

Search Result 740, Processing Time 0.029 seconds

Generation of neutral stream from helicon plasma and its application to Si dry etching (헬리콘 플라즈마로부터 중성입자 흐름의 생성 및 이를 이용한 실리콘의 건식식각)

  • 정석재;양호식;조성민
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.4
    • /
    • pp.390-396
    • /
    • 1998
  • Neutral stream was generated from Helicon plasma source and was applied to etch silicon for the purpose of preventing physical and electrical damages from the bombardment of charged particles with high translation energy. By installing a permanent magnet and applying positive bias beneath the substrate, the cusp-magnetic and electric fiddles were generated in order to remove the charged particles from the downstream plasma. As a result, the electron density and ion density in the vicinity of the substrate were reduced by 1/1000 and 1/10, respectively. The directional etching of silicon was observed and the etch rate was found to be very low to below 100 $\AA$/min at a pressure of $8.5{\times}10^{-4}$ Torr, when $Cl_2$ and 10% $SF_{sigma}$ etchant gases were used.

  • PDF

Role of $N_2$ flow rate on etch characteristics and variation of line edge roughness during etching of silicon nitride with extreme ultra-violet resist pattern in dual-frequency $CH_2F_2/N_2$/Ar capacitively coupled plasmas

  • Gwon, Bong-Su;Jeong, Chang-Ryong;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.458-458
    • /
    • 2010
  • The process window for the etch selectivity of silicon nitride ($Si_3N_4$) layers to extreme ultra-violet (EUV) resist and variation of line edge roughness (LER) of EUV resist were investigated durin getching of $Si_3N_4$/EUV resist structure in a dual-frequency superimposed capacitive coupled plasma (DFS-CCP) etcher by varying the process parameters, such as the $CH_2F_2$ and $N_2$ gas flow rate in $CH_2F_2/N_2$/Ar plasma. The $CH_2F_2$ and $N_2$ flow rate was found to play a critical role in determining the process window for infinite etch selectivity of $Si_3N_4$/EUV resist, due to disproportionate changes in the degree of polymerization on $Si_3N_4$ and EUV resist surfaces. The preferential chemical reaction between hydrogen and carbon in the hydrofluorocarbon ($CH_xF_y$) polymer layer and the nitrogen and oxygen on the $Si_3N_4$, presumably leading to the formation of HCN, CO, and $CO_2$ etch by-products, results in a smaller steady-state hydrofluorocarbon thickness on $Si_3N_4$ and, in turn, in continuous $Si_3N_4$ etching due to enhanced $SiF_4$ formation, while the $CH_xF_y$ layer is deposited on the EUV resist surface. Also critical dimension (and line edge roughness) tend to decrease with increasing $N_2$ flow rate due to decreased degree of polymerization.

  • PDF

A Study on the Effect of Polyetherimide Surface Treatment on the Adhesion and High Temperature/High Humidity Reliability of MCM-D Interface (Polyetherimide 접착제의 표면 처리에 따른 MCM-D 계면 접착력 및 고온고습 신뢰성 변화에 관한 연구)

  • Yoon, Hyun-Gook;Ko, Hyoung-Soo;Paik, Kyung-Wook
    • Korean Journal of Materials Research
    • /
    • v.9 no.12
    • /
    • pp.1176-1180
    • /
    • 1999
  • The adhesion strength and high temperature/high humidity reliability of polyetherimide (PEI) adhesive on silicon wafer after being treated by each reactive ion etching (RIE) Aluminum (Al)-chelate adhesion promoter were investigated. 180$^{\circ}$ peel test and <85$^{\circ}C$ 85%> humidity test were performed for the initial adhesion strength and high temperature/high humidity reliability, respectively. For investigating surface effect scanning electron microscope (SEM), atomic force microscope (AFM), deionized (DI)-water contact angle studies were carried out. To investigate RIE effect, PEI was treated with $^O_2$ RIE, and then laminated. The initial peel strength increased slightly from 1.6 kg/cm for the first 2 minutes, and then decreased. High temp/high humid resistance decreased rapidly by RIE etching. RIE treatment on PEI affected on both of roughness and hydrophilicity increase. Aluminum-chelate adhesion promoter was coated by spinning on silicon wafer. The initial peel strength showed no effect of adhesion promoter treatment, but high temp/high humidity resistance increased remarkably. Al-chelate adhesion promoter did not affect the roughness but increased hydrophilicity.

  • PDF

Fabrication of a Porous Copper Current Collector Using a Facile Chemical Etching to Alleviate Degradation of a Silicon-Dominant Li-ion Battery Anode

  • Choi, Hongsuk;Kim, Subin;Song, Hayong;Suh, Seokho;Kim, Hyeong-Jin;Eom, KwangSup
    • Corrosion Science and Technology
    • /
    • v.20 no.5
    • /
    • pp.249-255
    • /
    • 2021
  • In this work, we proposed a facile method to fabricate the three-dimensional porous copper current collector (3D Cu CC) for a Si-dominant anode in a Li-ion battery (LiB). The 3D Cu CC was prepared by combining chemical etching and thermal reduction from a planar copper foil. It had a porous layer employing micro-sized Cu balls with a large surface area. In particular, it had strengthened attachment of Si-dominant active material on the CC compared to a planar 2D copper foil. Moreover, the increased contact area between a Si-dominant active material and the 3D Cu could minimize contact loss of active materials from a CC. As a result of a battery test, Si-dominant active materials on 3D Cu showed higher cyclic performance and rate-capability than those on a conventional planar copper foil. Specifically, the Si electrode employing 3D Cu exhibited an areal capacity of 0.9 mAh cm-2 at the 300th cycles (@ 1.0 mA cm-2), which was 5.6 times higher than that on the 2D copper foil (0.16 mAh cm-2).

Comparison of Contact Resistivity Measurements of Silver Paste for a Silicon Solar Cell Using TLM and CTLM (TLM 및 CTLM을 이용한 실리콘 태양전지 전면전극소재의 접촉 비저항 측정 비교연구)

  • Shin, Dong-Youn;Kim, Yu-Ri
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.38 no.6
    • /
    • pp.539-545
    • /
    • 2014
  • Contact resistivity between silver electrodes and the emitter layer of a silicon solar cell wafer has been measured using either the circular transmission line method or the linear transmission line method. The circular transmission line method has an advantage over the linear transmission line method, in that it does not require an additional process for mesa etching to eliminate the leakage current. In contrast, the linear transmission line method has the advantage that its specimen can be acquired directly from a silicon solar cell. In this study, measured resistance data for the calculation of contact resistivity is compared for these two methods, and the mechanism by which the linear transmission line method can more realistically reflect the impact of the width and thickness of a silver electrode on contact resistivity is investigated.

Electromagnetic Micro x-y Stage for Probe-Based Data Storage

  • Park, Jae-joon;Park, Hongsik;Kim, Kyu-Yong;Jeon, Jong-Up
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.1 no.1
    • /
    • pp.84-93
    • /
    • 2001
  • An electromagnetic micro x-y stage for probe-based data storage (PDS) has been fabricated. The x-y stage consists of a silicon body inside which planar copper coils are embedded, a glass substrate bonded to the silicon body, and eight permanent magnets. The dimensions of flexures and copper coils were determined to yield $100{\;}\mu\textrm{m}$ in x and y directions under 50 mA of supplied current and to have 440 Hz of natural frequency. For the application to PDS devices, electromagnetic stage should have flat top surface for the prevention of its interference with multi-probe array, and have coils with low resistance for low power consumption. In order to satisfy these design criteria, conducting planar copper coils have been electroplated within silicon trenches which have high aspect ratio ($5{\;}\mu\textrm{m}$in width and $30{\;}\mu\textrm{m}$in depth). Silicon flexures with a height of $250{\;}\mu\textrm{m}$ were fabricated by using inductively coupled plasma reactive ion etching (ICP-RIE). The characteristics of a fabricated electromagnetic stage were measured by using laser doppler vibrometer (LDV) and dynamic signal analyzer (DSA). The DC gain was $0.16{\;}\mu\textrm{m}/mA$ and the maximum displacement was $42{\;}\mu\textrm{m}$ at a current of 180 mA. The measured natural frequency of the lowest mode was 325 Hz. Compared with the designed values, the lower natural frequency and DC gain of the fabricated device are due to the reverse-tapered ICP-RIE process and the incomplete assembly of the upper-sided permanent magnets for LDV measurements.

  • PDF

Novel Fabrication and Testing of a Bubble-Powered Micropump (새로운 기포동력 마이크로펌프 제작 및 실험)

  • Jung, Jung-Yeul;Kwak, Ho-Young
    • Proceedings of the KSME Conference
    • /
    • 2004.11a
    • /
    • pp.1196-1200
    • /
    • 2004
  • Micropump is very useful component in micro/nano fluidics and bioMEMS applications. In this study, a bubble-powered micropump was fabricated and tested. The micropump consists of two-parallel micro line heaters, a pair of nozzle-diffuser flow controller and a 1 mm in diameter, 400 ${\mu}m$ in depth pumping chamber. The two-parallel micro line heaters with 20 ${\mu}m-width$ and 200 ${\mu}m-length$ were fabricated to be embedded in the silicon dioxide layer of a wafer which serves as a base plate for the micropump. The pumping chamber, the pair of nozzle-diffuser unit and microchannels including the liquid inlet and outlet port were fabricated by etching through another silicon wafer. A glass wafer (thickness of $525{\pm}15$ ${\mu}m$) having two holes of inlet and outlet ports of liquid serve as upper plate of the pump. Finally the silicon wafer of the base plate, the silicon wafer of pumping chamber and the glass wafer were aligned and bonded (Si-Si bonding and anodic bonding). A sequential photograph of bubble nucleation, growth and collapse was visualized by CCD camera. Clearly liquid flow through the nozzle during the period of bubble growth and slight back flow of liquid at the end of collapsing period can be seen. The mass flow rate was found to be dependent on the duty ratio and the operation frequency. As duty ratio increases, flow rate decreases gradually when the duty ratio exceeds 60%. Also as the operation frequency increases, the flow rate of the micropump decreases slightly.

  • PDF

Optimization of Drive-in Process with Various Times and Temperatures in Crystalline Silicon Solar Cell Fabrication (결정질 실리콘 태양전지 도핑 확산 공정에서 시간과 온도 변화에 의한 Drive-in 공정 연구)

  • Lee, Hee-Jun;Choi, Sung-Jin;Myoung, Jae-Min;Song, Hee-Eun;Yu, Gwon-Jong
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2011.11a
    • /
    • pp.51-55
    • /
    • 2011
  • In this paper, the optimized doping condition of crystalline silicon solar cells with 156 ${\times}$ 156 mm2 area was studied. To optimize the drive-in condition in the doping process, the other conditions except drive-in temperature and time were fixed. After etching 7 ${\mu}m$ of the surface to form the pyramidal structure, the silicon nitride deposited by the PECVD had 75~80 nm thickness and 2 to 2.1 for a refractive index. The silver and aluminium electrodes for front and back sheet, respectively, were formed by screen-printing method, followed by firing in $400-425-450-550-850^{\circ}C$ five-zone temperature conditions to make the ohmic contact. Drive-in temperature was changed in range of $828^{\circ}C$ to $860^{\circ}C$ and time was from 3 min to 40 min. The sheet resistance of wafer was fixed to avoid its effect on solar cell. The solar cell fabricated with various conditions showed the similar conversion efficiency of 17.4%. This experimental result showed the drive-in temperatures and times little influence on solar cell characteristics.

  • PDF

Coplanar Waveguides Fabricated on Oxidized Porous Silicon Air-Bridge for MMIC Application (다공질 실리콘 산화막 Air-Bridge 기판 위에 제작된 MMIC용 공면 전송선)

  • 박정용;이종현
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.5
    • /
    • pp.285-289
    • /
    • 2003
  • This paper proposes a 10 ${\mu}{\textrm}{m}$ thick oxide air-bridge structure which can be used as a substrate for RF circuits. The structure was fabricated by anodic reaction, complex oxidation and rnicrornachining technology using TMAH etching. High quality films were obtained by combining low temperature thermal oxidation (50$0^{\circ}C$, 1 hr at $H_2O$/O$_2$) and rapid thermal oxidation (RTO) process (105$0^{\circ}C$, 2 min). This structure is mechanically stable because of thick oxide layer up to 10 ${\mu}{\textrm}{m}$ and is expected to solve the problem of high dielectric loss of silicon substrate in RF region. The properties of the transmission line formed on the oxidized porous silicon (OPS) air-bridge were investigated and compared with those of the transmission line formed on the OPS layers. The insertion loss of coplanar waveguide (CPW) on OPS air-bridge was (about 1 dB) lower than that of CPW on OPS layers. Also, the return loss of CPW on OPS air-bridge was less than about - 20 dB at measured frequency region for 2.2 mm. Therefore, this technology is very promising for extending the use of CMOS circuitry to higher RF frequencies.

Piezo-electrically Actuated Micro Corner Cube Retroreflector (CCR) for Free-space Optical Communication Applications

  • Lee, Duk-Hyun;Park, Jae-Y.
    • Journal of Electrical Engineering and Technology
    • /
    • v.5 no.2
    • /
    • pp.337-341
    • /
    • 2010
  • In this paper, an extremely low voltage operated micro corner cube retroreflector (CCR) was fabricated for free-space optical communication applications by using bulk silicon micromachining technologies. The CCR was comprised of an orthogonal vertical mirror and a horizontal actuated mirror. For low voltage operation, the horizontal actuated mirror was designed with two PZT cantilever actuators, torsional bars, hinges, and a mirror plate with a size of $400{\mu}m{\times}400{\mu}m$. In particular, the torsional bars and hinges were carefully simulated and designed to secure the flatness of the mirror plate by using a finite element method (FEM) simulator. The measured tilting angle was approximately $2^{\circ}$ at the applied voltage of 5 V. An orthogonal vertical mirror with an extremely smooth surface texture was fabricated using KOH wet etching and a double-SOI (silicon-on-insulator) wafer with a (110) silicon wafer. The fabricated orthogonal vertical mirror was comprised of four pairs of two mutually orthogonal flat mirrors with $400{\mu}m4 (length) $\times400{\mu}m$ (height) $\times30{\mu}m$ (thickness). The cross angles and surface roughness of the orthogonal vertical mirror were orthogonal, almost $90^{\circ}$ and 3.523 nm rms, respectively. The proposed CCR was completed by combining the orthogonal vertical and horizontal actuated mirrors. Data transmission and modulation at a frequency of 10 Hz was successfully demonstrated using the fabricated CCR at a distance of approximately 50 cm.