• Title/Summary/Keyword: Silicon Nitride Etching

Search Result 59, Processing Time 0.028 seconds

Manufacturing SiNx Extreme Ultraviolet Pellicle with HF Wet Etching Process (HF 습식 식각을 이용한 극자외선 노광 기술용 SiNx)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.14 no.3
    • /
    • pp.7-11
    • /
    • 2015
  • In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property. In this study, silicon nitride, which is well-known for its remarkable mechanical property, was used as a pellicle membrane material to achieve high EUV transmittance. Since long silicon wet etching process time aggravates notching effect causing stress concentration on the edge or corner of etched structure, the remaining membrane is prone to fracture at the end of etch process. To overcome this notching effect and attain high transmittance, we began preparing a rather thick (200 nm) $SiN_x$ membrane which can be stably manufactured and was thinned into 43 nm thickness with HF wet etching process. The measured EUV transmittance shows similar values to the simulated result. Therefore, the result shows possibilities of HF thinning processes for $SiN_x$ EUV pellicle fabrication.

Comparison & Analysis of Anti-Reflection Coatings for Crystalline Si Solar cells (결정질 실리콘 태양전지의 반사방지막 비교 분석)

  • Cho, Kyeong-Yeon;Lee, Ji-Hun;Lee, Soo-Hong;Lee, Kyu-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.221-222
    • /
    • 2008
  • In Crystalline Si solar cells, Anti-Reflection Coating is contribute to improvement in energy conversion efficiency due to decrease of optical loss and recombination owing to surface passivation. Porous Si is formed electrochemical etching that uses chemical solution and anodization etching. So It gives that advantage in rapid process time and without high cost equipment. In this paper, We compare Porous Si with $SiO_2$/SiNx ARC and analyze that by anti-reflection coating.

  • PDF

Research Trend of High Aspect Ratio Contact Etching used in Semiconductor Memory Device Manufacturing (반도체 메모리 소자 제조에서 High Aspect Ratio Contact 식각 연구 동향)

  • Hyun-Woo Tak;Myeong-Ho Park;Jun-Soo Lee;Chan-Hyuk Choi;Bong-Sun Kim;Jun-Ki Jang;Eun-Koo Kim;Dong-Woo Kim;Geun-Young Yeom
    • Journal of the Korean institute of surface engineering
    • /
    • v.57 no.3
    • /
    • pp.165-178
    • /
    • 2024
  • In semiconductor memory device manufacturing, the capability for high aspect ratio contact (HARC) etching determines the density of memory device. Given that there is no standardized definition of "high" in high aspect ratio, it is crucial to continuously monitor recent technology trends to address technological gaps. Not only semiconductor memory manufacturing companies such as Samsung Electronics, SK Hynix, and Micron but also semiconductor manufacturing equipment companies such as Lam Research, Applied Materials, Tokyo Electron, and SEMES release annual reports on HARC etching technology. Although there is a gap in technological focus between semiconductor mass production environments and various research institutes, the results from these institutes significantly contribute by demonstrating fundamental mechanisms with empirical evidence, often in collaboration with industry researchers. This paper reviews recent studies on HARC etching and the study of dielectric etching in various technologies.

Infinite Selectivity Etching Process of Silicon Nitride to ArF PR Using Dual-frequency $CH_2F_2/H_2/Ar$ Capacitively Coupled Plasmas (Dual-frequency $CH_2F_2/H_2/Ar$ capacitively coupled plasma를 이용한 실리콘질화물과 ArF PR의 무한 선택비 식각 공정)

  • Park, Chang-Ki;Lee, Chun-Hee;Kim, Hui-Tae;Lee, Nae-Eung
    • Journal of the Korean institute of surface engineering
    • /
    • v.39 no.3
    • /
    • pp.137-141
    • /
    • 2006
  • Process window for infinite etch selectivity of silicon nitride $(Si_3N_4)$ layers to ArF photoresist (PR) was investigated in dual frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters such as low frequency power $(P_{LF})$, $CH_2F_2$ and $H_2$ flow rate in $CH_2F_2/H_2/Ar$ plasma. It was found that infinite etch selectivities of $Si_3N_4$ layers to the ArF PR on both blanket and patterned wafers can be obtained for certain gas flow conditions. The etch selectivity was increased to the infinite values as the $CH_2F_2$ flow rate increases, while it was decreased from the infinite etch selectivity as the $H_2$ flow rate increased. The preferential chemical reaction of the hydrogen with the carbon in the polymer film and the nitrogen on the $Si_3N_4$ surface leading to the formation of HCN etch by-products results in a thinner steady-state polymer and, in turn, to continuous $Si_3N_4$ etching, due to enhanced $SiF_4$ formation, while the polymer was deposited on the ArF photoresist surface.

Multilayered High-directional Waveguide Grating Antenna Based on Interleaved Etching for Optical Phased Arrays

  • Yang Bo;Qing Wang;Jinyu Wang;Yan, Cai;Wencheng Yue;Shuxiao Wang;Wei Wang;Mingbin Yu
    • Current Optics and Photonics
    • /
    • v.7 no.2
    • /
    • pp.157-165
    • /
    • 2023
  • We propose a highly directional waveguide grating antenna for an optical phased array, achieving high directionality of more than 97% by interleaving the trenches with different etching depths in the silicon nitride layer, and adopting a multilayered structure. Meanwhile, the multilayered structure reduces the perturbation strength, which enables a centimeter-scale radiation length. The beam-steering range is 13.2°, with a wavelength bandwidth of 100 nm. The 1-dB bandwidth of the grating is 305 nm. The multilayered grating structure has a large tolerance to the fabrication variation and is compatible with CMOS fabrication techniques.

Design and fabrication of condenser microphone with rigid backplate and vertical acoustic holes using DRIE and wafer bonding technology (기판접합기술을 이용한 두꺼운 백플레이트와 수직음향구멍을 갖는 정전용량형 마이크로폰의 설계와 제작)

  • Kwon, Hyu-Sang;Lee, Kwang-Cheol
    • Journal of Sensor Science and Technology
    • /
    • v.16 no.1
    • /
    • pp.62-67
    • /
    • 2007
  • This paper presents a novel MEMS condenser microphone with rigid backplate to enhance acoustic characteristics. The MEMS condenser microphone consists of membrane and backplate chips which are bonded together by gold-tin (Au/Sn) eutectic solder bonding. The membrane chip has 2.5 mm${\times}$2.5 mm, $0.5{\mu}m$ thick low stress silicon nitride membrane, 2 mm${\times}$2 mm Au/Ni/Cr membrane electrode, and $3{\mu}m$ thick Au/Sn layer. The backplate chip has 2 mm${\times}$2 mm, $150{\mu}m$ thick single crystal silicon rigid backplate, 1.8 mm${\times}$1.8 mm backplate electrode, and air gap, which is fabricated by bulk micromachining and silicon deep reactive ion etching. Slots and $50-60{\mu}m$ radius circular acoustic holes to reduce air damping are also formed in the backplate chip. The fabricated microphone sensitivity is $39.8{\mu}V/Pa$ (-88 dB re. 1 V/Pa) at 1 kHz and 28 V polarization voltage. The microphone shows flat frequency response within 1 dB between 20 Hz and 5 kHz.

Design and Fabrication of MEMS Condenser Microphone Using Wafer Bonding Technology (기판접합기술을 이용한 MEMS 컨덴서 마이크로폰의 설계와 제작)

  • Kwon, Hyu-Sang;Lee, Kwang-Cheol
    • Transactions of the Korean Society for Noise and Vibration Engineering
    • /
    • v.16 no.12 s.117
    • /
    • pp.1272-1278
    • /
    • 2006
  • This paper presents a novel MEMS condenser microphone with rigid backplate to enhance acoustic characteristics. The MEMS condenser microphone consists of membrane and backplate chips which are bonded together by gold-tin(Au/Sn) eutectic solder bonding. The membrane chip has $2.5mm{\times}2.5mm$, 0.5${\mu}m$ thick low stress silicon nitride membrane, $2mm{\times}2mm$ Au/Ni/Cr membrane electrode, and 3${\mu}m$ thick Au/Sn layer. The backplate chip has $2mm{\times}2mm$, 150${\mu}m$ thick single crystal silicon rigid backplate, $1.8mm{\times}1.8mm$ backplate electrode, and air gap, which is fabricated by bulk micromachining and silicon deep reactive ion etching. Slots and $50{\sim}60{\mu}m$ radius circular acoustic holes to reduce air damping are also formed in the backplate chip. The fabricated microphone sensitivity is 39.8 ${\mu}V/Pa$(-88 dB re. 1 V/Pa) at 1 kHz and 28 V polarization voltage. The microphone shows flat frequency response within 1 dB between 20 Hz and 5 kHz.

The Study on the Characteristic of Mono Crystalline Silicon Solar Cell with Change of $O_2$ Injection during Drive-in Process and PSG Removal (단결정 실리콘 태양전지 도핑 확산 공정에서 주입되는 $O_2$ 가스와 PSG 유무에 따른 특성 변화)

  • Choi, Sung-Jin;Song, Hee-Eun;Yu, Gwon-Jong;Lee, Hi-Deok
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2011.04a
    • /
    • pp.105-110
    • /
    • 2011
  • The doping procedure in crystalline silicon solar cell fabrication usually contains oxygen injection during drive-in process and removal of phosphorous silicate glass(PSG). In this paper, we studied the effect of oxygen injection and PSG on conversion efficiency of solar cell. The mono crystalline silicon wafers with $156{\times}156mm^2$, $200{\mu}m$, $0.5-3.0{\Omega}{\cdot}cm$ and p-type were used. After etching $7{\mu}m$ of the surface to form the pyramidal structure, the P(phosphorous) was injected into silicon wafer using diffusion furnace to make the emitter layer. After then, the silicon nitride was deposited by the PECVD with 80 nm thickness and 2.1 refractive index. The silver and aluminium electrodes for front and back sheet, respectively, were formed by screen-printing method, followed by firing in 400-425-450-550-$880^{\circ}C$ five-zone temperature conditions to make the ohmic contact. Solar cells with four different types were fabricated with/without oxygen injection and PSG removal. Solar cell that injected oxygen during the drive-in process and removed PSG after doping process showed the 17.9 % conversion efficiency which is best in this study. This solar cells showed $35.5mA/cm^2$ of the current density, 632 mV of the open circuit voltage and 79.5 % of the fill factor.

  • PDF

Role of $N_2$ flow rate on etch characteristics and variation of line edge roughness during etching of silicon nitride with extreme ultra-violet resist pattern in dual-frequency $CH_2F_2/N_2$/Ar capacitively coupled plasmas

  • Gwon, Bong-Su;Jeong, Chang-Ryong;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.458-458
    • /
    • 2010
  • The process window for the etch selectivity of silicon nitride ($Si_3N_4$) layers to extreme ultra-violet (EUV) resist and variation of line edge roughness (LER) of EUV resist were investigated durin getching of $Si_3N_4$/EUV resist structure in a dual-frequency superimposed capacitive coupled plasma (DFS-CCP) etcher by varying the process parameters, such as the $CH_2F_2$ and $N_2$ gas flow rate in $CH_2F_2/N_2$/Ar plasma. The $CH_2F_2$ and $N_2$ flow rate was found to play a critical role in determining the process window for infinite etch selectivity of $Si_3N_4$/EUV resist, due to disproportionate changes in the degree of polymerization on $Si_3N_4$ and EUV resist surfaces. The preferential chemical reaction between hydrogen and carbon in the hydrofluorocarbon ($CH_xF_y$) polymer layer and the nitrogen and oxygen on the $Si_3N_4$, presumably leading to the formation of HCN, CO, and $CO_2$ etch by-products, results in a smaller steady-state hydrofluorocarbon thickness on $Si_3N_4$ and, in turn, in continuous $Si_3N_4$ etching due to enhanced $SiF_4$ formation, while the $CH_xF_y$ layer is deposited on the EUV resist surface. Also critical dimension (and line edge roughness) tend to decrease with increasing $N_2$ flow rate due to decreased degree of polymerization.

  • PDF