• Title/Summary/Keyword: Silica slurry

Search Result 140, Processing Time 0.024 seconds

Effects of Diluted Silica Slurry and Abrasives on the CMP Characteristics (실리카 슬러리의 희석과 연마제의 첨가가 CMP 특성에 미치는 영향)

  • 박창준;김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.10
    • /
    • pp.851-857
    • /
    • 2002
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi~level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40%. So, we focused how to reduce the consumption of raw slurry In this paper, we presented the pH changes of diluted slurry and pH control as a function of KOH contents. Also, the removal rates of slurry with different dilution ratio were investigated. Finally, the CMP characteristics were discussed as a function of silica (SiO$_2$) abrasive contents.

CMP properties of $SnO_2$ thin film ($SnO_2$ 박막의 CMP 특성)

  • Choi, Gwon-Woo;Lee, Woo-Sun;Ko, Pil-Ju;Kim, Tae-Wan;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.04b
    • /
    • pp.93-96
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

CMP properties of $SnO_2$ thin film ($SnO_2$ 박막의 CMP 특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Ko, Pil-Ju;Hong, Kwang-Jun;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.184-187
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) lyaer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2-CMP$ process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis or used slurry.

  • PDF

Effect of shape and surface properties of hydrothermaled silica particles in chemical mechanical planarization of oxide film (실리카 입자의 형상과 표면 특성이 산화막 CMP에 미치는 영향)

  • Jeong, Jeong-Hwan;Lim, Hyung-Mi;Kim, Dae-Sung;Paik, Un-Gyu;Lee, Seung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.161-161
    • /
    • 2008
  • The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.

  • PDF

Development of Tungsten CMP (Chemical Mechanical Planarization) Slurry using New Abrasive Particle (새로운 연마입자를 이용한 텅스텐 슬러리 개발)

  • Yu, Young-Sam;Kang, Young-Jae;Kim, In-Kwon;Hong, Yi-Koan;Park, Jin-Goo;Jung, Seok-Jo;Byun, Jung-Hwan;Kim, Moon-Sung
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.571-572
    • /
    • 2006
  • Tungsten CMP needs interconnect of semiconductor device ULSI chip and metal plug formation, CMP technology is essential indispensable method for local planarization. This Slurry development also for tungsten CMP is important, slurry of metal wiring material that is used present is depending real condition abroad. It is target that this research makes slurry of efficiency that overmatch slurry that is such than existing because focus and use colloidal silica by abrasive particle to internal production technology development. Compared selectivity of slurry that is developed with competitor slurry using 8" tungsten wafer and 8" oxide wafer in this experiment. And removal rate measures about density change of $H_2O_2$ and Fe particle. Also, corrosion potential and current density measure about Fe ion and Fe particle. As a result, selectivity find 83:1, and expressed similar removal rate and corrosion potential and current density value comparing with competitor slurry.

  • PDF

Correlation between Ceria abrasive accumulation on pad surface and Material Removal in Oxide CMP (산화막 CMP에서 세리아 입자의 패드 표면누적과 재료제거 관계)

  • Kim, Young-Jin;Park, Boum-Young;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.118-118
    • /
    • 2008
  • The oxide CMP has been applied to interlayer dielectric(ILD) and shallow trench isolation (STI) in chip fabrication. Recently the slurry used in oxide CMP being changed from silica slurry to ceria (cerium dioxide) slurry particularly in STI CMP, because the material selectivity of ceria slurry is better than material selectivity of silica slurry. Moreover, the ceria slurry has good a planarization efficiency, compared with silica slurry. However ceria abrasives make a material removal rate too high at the region of wafer center. Then we focuses on why profile of material removal rate is convex. The material removal rate sharply increased to 3216 $\AA$/min by $4^{th}$ run without conditioning. After $4^{th}$ run, material removal rate converged. Furthermore, profile became more convex during 12 run. And average material removal rate decreased when conditioning process is added to end of CMP process. This is due to polishing mechanism of ceria. Then the ceria abrasive remains at the pad, in particular remains more at wafer center contacted region of pad. The field emission scanning electron microscopy (FE-SEM) images showed that the pad sample in the wafer center region has a more ceria abrasive than in wafer outer region. The energy dispersive X-ray spectrometer (EDX) verified the result that ceria abrasive is deposited and more at the region of wafer center. Therefore, this result may be expected as ceria abrasives on pad surface causing the convex profile of material removal rate.

  • PDF

Evaluation of Point-Of-Use (POU) Filters Performance in Chemical Mechanical Polishing Slurry Supply System (슬러리 공급 시스템을 이용한 화학적 기계적 연마 공정에서의 POU 필터의 성능 평가)

  • Jang, Sunjae;Kim, Hojoong;Jin, Hongi;Nam, Miyeon;Kulkarni, Atul;Kim, Taesung
    • Particle and aerosol research
    • /
    • v.9 no.4
    • /
    • pp.261-269
    • /
    • 2013
  • The chemical mechanical polishing (CMP) process is widely used in semiconductor manufacturing process for planarization of various materials and structures. Point-of-use (POU) filters are used in most of the CMP processes in order to reduce the unwanted micro-scratches which may result in defects. The performance of the POU filter is depends on type and size of the abrasives used during cleaning process. For this reason, there is a need to evaluate POU filters for their filtration efficiency (FE) with different types of abrasives. In this study, we developed filter test system to evaluate the FE of POU using ceria and silica abrasives (slurry). The POU filter is roll type capsule filter with retention size of 0.2 ${\mu}m$. Two POU filters of different make are evaluated for FE. We observed that both POU filters show similar filtration efficiency for silica and ceria slurry. Results reveal that the ceria slurry and the colloidal silica particle are removed not only by mechanical way but also hydrodynamic and electrostatic interaction way.

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

Effect of Surfactant on the Dispersion Stability of Slurry for Semiconductor Silicon CMP (계면활성제가 반도체 실리콘 CMP용 슬러리의 분산안정성에 미치는 영향)

  • Yun, Hye Won;Kim, Doyeon;Han, Do Hyung;Kim, Dong Wan;Kim, Woo-Byoung
    • Journal of Powder Materials
    • /
    • v.25 no.5
    • /
    • pp.395-401
    • /
    • 2018
  • The improvement of dispersion stability for the primary polishing slurry in a CMP process is achieved to prevent defects produced by agglomeration of the slurry. The dispersion properties are analyzed according to the physical characteristics of each silica sol sample. Further, the difference in the dispersion stability is confirmed as the surfactant content. The dispersibility results measured by Zeta potential suggest that the dispersion properties depend on the content and size of the abrasive in the primary polishing slurry. Moreover, the optimum ratio for high dispersion stability is confirmed as the addition content of the surfactant. Based on the aforementioned results, the long-term stability of each slurry is analyzed. Turbiscan analysis demonstrates that the agglomeration occurs depending on the increasing amount of surfactant. As a result, we demonstrate that the increased particle size and the decreased content of silica improve the dispersion stability and long-term stability.

An Optimization of Tungsten Plug Chemical Mechanical Polishing(CMP) using the Different Sets of Slurry and Pad (슬러리와 패드변화에 따른 텅스텐 플러그 CMP 공정의 최적화)

  • 김상용;서용진;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.7
    • /
    • pp.568-574
    • /
    • 2000
  • We have been optimized tungsten(W) plug CMP(chemical mechanical polishing) characteristics using two different kinds of component of slurry and two different kinds of pad which have different hardness. The comparison of oxide film roughness on around W plug after polishing has been carried out. And W plug recess for consumable sets and dishing effect at dense area according to the rate of over-polishing has been investigated. Also the analysis of residue on surface after cleaning have been performed. As a experimental result we have concluded that the consumable set of slurry A and hard pad was good for W plug CMP process. After decreasing the rate of chemical reaction of silica slurry and adding two step buffering we could reduce the expanding of W plug void however we are still recognizing to need a more development for those kinds of CMP consumables.

  • PDF