• Title/Summary/Keyword: Si Etching

Search Result 872, Processing Time 0.043 seconds

Magnetoresistance of Bi Nanowires Grown by On-Film Formation of Nanowires for In-situ Self-assembled Interconnection

  • Ham, Jin-Hee;Kang, Joo-Hoon;Noh, Jin-Seo;Lee, Woo-Young
    • Proceedings of the Korean Magnestics Society Conference
    • /
    • 2010.06a
    • /
    • pp.79-79
    • /
    • 2010
  • Semimetallic bismuth (Bi) has been extensively investigated over the last decade since it exhibits very intriguing transport properties due to their highly anisotropic Fermi surface, low carrier concentration, long carrier mean free path l, and small effective carrier mass $m^*$. In particular, the great interest in Bi nanowires lies in the development of nanowire fabrication methods and the opportunity for exploring novel low-dimensional phenomena as well as practical application such as thermoelectricity[1]. In this work, we introduce a self-assembled interconnection of nanostructures produced by an on-film formation of nanowires (OFF-ON) method in order to form a highly ohmic Bi nanobridge. A Bi thin film was first deposited on a thermally oxidized Si (100) substrate at a rate of $40\;{\AA}/s$ by radio frequency (RF) sputtering at 300 K. The sputter system was kept in an ultra high vacuum (UHV) of $10^{-6}$ Torr before deposition, and sputtering was performed under an Ar gas pressure of 2m Torr for 180s. For the lateral growth of Bi nanowires, we sputtered a thin Cr (or $SiO_2$) layer on top of the Bi film. The Bi thin films were subsequently put into a custom-made vacuum furnace for thermal annealing to grow Bi nanowires by the OFF-ON method. After thermal annealing, the Bi nanowires cannot be pushed out from the topside of the Bi films due to the Cr (or $SiO_2$) layer. Instead, Bi nanowires grow laterally as a mean s of releasing the compressive stress. We fabricated a self-assembled Bi nanobridge (d=192 nm) device in-situ using OFF-ON through annealing at $250^{\circ}C$ for 10hours. From I-V measurements taken on the Bi nanobridge device, contacts to the nanobridge were found highly ohmic. The quality of the Bi nanobridge was also proved by the high MR of 123% obtained from transverse MR measurements. These results manifest the possibility of self-assembled nanowire interconnection between various nanostructures for a variety of applications and provide a simple device fabrication method to investigate transport properties on nanowires without complex patterning and etching processes.

  • PDF

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

Characteristics of BDD electrodes deposited on Ti substrate with TiN interlayer (TiN 중간층을 삽입하여 Ti기판 위에 증착한 BDD전극의 특성 평가)

  • Kim, Sin;Kim, Seo-Han;Yun, Jang-Hui;Song, Pung-Geun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2017.05a
    • /
    • pp.113-113
    • /
    • 2017
  • 최근 많은 산업의 발전으로 인해 환경오염을 유발시키는 폐수가 다량으로 배출되고 있으며, 이러한 폐수 속에는 유기용매, 고분자 물질 및 각종 염 등의 난분해성 물질들이 다량으로 함유되어 있다. 이런 물질들을 분해시키기 위해 물리적, 생물학적 수처리 방법이 많이 이용되고 있지만 이 방법들은 각각 운전비용과 처리비용이 고가인 단점이 있다. 따라서 비용과 효율 측면에서 효과적인 폐수처리를 위해서 전기화학적 폐수처리 방법이 많이 사용되고 있다. 물리적, 생물학적 처리 방법에 비해 비용이 적게 들고, 처리 후 잔류물이 남지 않으며, 독성을 띄는 산화제의 첨가 없이도 높은 폐수처리 능력을 보이기 때문에 친환경적이므로, 전기화학적 폐수산화 처리에 사용되는 불용성 전극에 대한 연구가 많이 진행되어져 오고 있다. 그 중 BDD(Boron-doped diamond) 전극은 표면에서 강력한 산화제인 수산화 라디칼의 높은 발생량으로 인해 뛰어난 폐수처리 능력을 보이므로 불용성 전극 분야에서 활발한 연구가 진행 중이다. 그러나 기존에 BDD 전극의 기판 모재로 이용되던 Si, W, Pb등은 모두 기계적 강도, 폐수처리 능력 및 독성 문제로 인해 한계가 있었고, 특히 Nb기판 위에 형성시킨 BDD 전극은 뛰어난 폐수처리 능력에도 불구하고 비싼 모재 원가로 인해 상용화가 힘든 실정이다. 이런 문제점을 해결하기 위해 높은 기계적 강도와 전기화학적 안정성을 가진 Ti 기판을 사용한 BDD 전극에 대한 연구가 보고되고 있다. 그러나 BDD와 Ti 간의 lattice mismatch, BDD층 형성을 위한 고온 공정시 탄소의 확산으로 인한 기판 표면에서의 TiC층 형성으로 인해 접착력이 감소하여 박리가 생기는 문제점이 있다. BDD와 Ti의 접착력을 향상시키기 위해 융점이 높고, 전기전도성이 우수한 TiN을 diffusion barrier layer로 삽입하면 탄소 확산에 의한 TiC층의 생성을 억제하여, 내부응력에 기인한 접착력 감소를 방지할 수 있다. 또 하나의 방법으로 Ti 기판의 전처리를 통해 BDD층의 접착력을 향상 시킬 수 있다. Sanding과 etching을 통해 기판 표면의 물리, 화학적인 표면조도를 부여하고, seeding을 통해 diamond 결정 성장에 도움을 주는 seed 입자를 분포시킴으로써, 중간층과 BDD층의 접착력을 향상시키고, BDD 결정핵 성장을 촉진시켜 고품질의 BDD박막 증착이 가능하다. 본 연구에서는 기존 Si, Nb 등의 기판 모재를 Ti로 대체함으로써 제조원가를 절감시키고, TiN 중간층을 삽입하여 접착력을 향상 시킴으로써 기존의 BDD 전극과 동등한 수준의 물성 및 수처리 특성을 가진 BDD전극 제작을 목표로 하였다. $25{\times}25mm$의 Ti 기판위에 TiN 중간층을 DC magnetron sputtering을 이용하여 증착 후, BDD 전극 층을 HFCVD로 증착하였다. 전처리를 진행한 기판과 중간층 및 BDD층의 미세구조를 XRD로 분석하였고, 표면 형상을 SEM으로 확인하였다. BDD전극의 접착력 분석을 통해 TiN 중간층의 최적 조성을 도출하고, 최종적으로 BDD/TiN/Ti 전극의 CV특성과 가폐수의 COD분해능력 및 축산폐수, 선박평형수 등의 실제 폐수 처리 능력을 BDD/Si, BDD/Nb 전극과 비교 검토할 것이다.

  • PDF

Characteristics of BDD electrodes deposited on Ti substrate with TiN interlayer (TiN 중간층을 삽입하여 Ti기판 위에 증착한 BDD전극의 특성 평가)

  • Kim, Sin;Kim, Seo-Han;Kim, Wang-Ryeol;Park, Mi-Jeong;Song, Pung-Geun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2016.11a
    • /
    • pp.157-157
    • /
    • 2016
  • 최근 많은 산업의 발전으로 인해 환경오염을 유발시키는 폐수가 다량으로 배출되고 있으며, 이러한 폐수 속에는 유기용매, 고분자 물질 및 각종 염 등의 난분해성 물질들이 다량으로 함유되어 있다. 이런 물질들을 분해시키기 위해 물리적, 생물학적 수처리 방법이 많이 이용되고 있지만 이 방법들은 각각 운전비용과 처리비용이 고가인 단점이 있다. 따라서 비용과 효율 측면에서 효과적인 폐수처리를 위해서 전기화학적 폐수처리 방법이 많이 사용되고 있다. 물리적, 생물학적 처리 방법에 비해 비용이 적게 들고, 처리 후 잔류물이 남지 않으며. 독성을 띄는 산화제의 첨가 없이도 높은 폐수처리 능력을 보이기 때문에 친환경적이므로, 전기화학적 폐수산화 처리에 사용되는 불용성 전극에 대한 연구가 많이 진행되어져 오고 있다. 그 중 BDD(Boron-doped diamond) 전극은 표면에서 강력한 산화제인 수산화 라디칼의 높은 발생량으로 인해 뛰어난 폐수처리 능력을 보이므로 불용성 전극 분야에서 활발한 연구가 진행 중이다. 그러나 기존에 BDD 전극의 기판 모재로 이용되던 Si, W, Pb등은 모두 기계적 강도. 폐수처리 능력 및 독성 문제로 인해 한계가 있었고, 특히 Nb기판 위에 형성시킨 BDD 전극은 뛰어난 폐수처리 능력에도 불구하고 비싼 모재 원가로 인해 상용화가 힘든 실정이다. 이런 문제점을 해결하기 위해 높은 기계적 강도와 전기화학적 안정성을 가진 Ti 기판을 사용한 BDD 전극에 대한 연구가 보고되고 있다. 그러나 BDD와 Ti 간의 lattice mismatch, BDD층 형성을 위한 고온 공정 시 탄소의 확산으로 인한 기판 표면에서의 TiC층 형성으로 인해 접착력이 감소하여 박리가 생기는 문제점이 있다. BDD와 Ti의 접착력을 향상시키기 위해 융점이 높고, 전기전도성이 우수한 TiN을 diffusion barrier layer로 삽입하면 탄소 확산에 의한 TiC층의 생성을 억제하여, 내부응력에 기인한 접착력 감소를 방지할 수 있다. 또 하나의 방법으로 Ti 기판의 전처리를 통해 BDD층의 접착력을 향상 시킬 수 있다. Sanding과 etching을 통해 기판 표면의 물리, 화학적인 표면조도를 부여하고, seeding을 통해 diamond 결정 성장에 도움을 주는 seed 입자를 분포시킴으로써, 중간층과 BDD층의 접착력을 향상시키고, BDD 결정핵 성장을 촉진시켜 고품질의 BDD박막 증착이 가능하다. 본 연구에서는 기존 Si, Nb 등의 기판 모재를 Ti로 대체함으로써 제조원가를 절감시키고, TiN 중간층을 삽입하여 접착력을 향상시킴으로써 기존의 BDD 전극과 동등한 수준의 물성 및 수처리 특성을 가진 BDD전극 제작을 목표로 하였다. $25{\times}25mm$의 Ti 기판위에 TiN 중간층을 DC magnetron sputtering을 이용하여 증착 후, BDD 전극 층을 HFCVD로 증착하였다. 전처리를 진행한 기판과 중간층 및 BDD층의 미세구조를 XRD로 분석하였고, 표면 형상을 SEM으로 확인하였다. BDD전극의 접착력 분석을 통해 TiN 중간층의 최적 조성을 도출하고, 최종적으로 BDD/TiN/Ti 전극의 CV특성과 가폐수의 COD분해능력 및 축산폐수, 선박평형수 등의 실제 폐수 처리 능력을 BDD/Si, BDD/Nb 전극과 비교 검토할 것이다.

  • PDF

FABRICATION OF Nb/Al SUPERCONDUCTING TUNNEL JUNCTION (Nb/Al SUPERCONDUCTING TUNNEL JUNCTION의 제작)

  • Cho, Sung-Ik;Park, Young-Sik;Park, Jang-Hyun;Lee, Yong-Ho;Lee, Sang-Kil;Kim, Sug-Whan;Han, Won-Yong
    • Journal of Astronomy and Space Sciences
    • /
    • v.21 no.4
    • /
    • pp.481-492
    • /
    • 2004
  • We report the successful fabrication and I-V curve superconductivity test results of the Nb/Al-based superconducting tunnel junctions. STJs with side-lengths of 20, 40, 60 and $80{\mu}m$ were fabricated by deposition of polycrystalline Nb/Al/AlOx/Al/Nb 5-layer thin films incorporated on a 3-inch Si wafer. STJ was designed by $Tanner^{TM}$ L-Edit 8.3 program, and fabricated in SQUID fabrication facility, KRISS. S-layer STJ thin-films were fabricated using UV photolithography, DC magnetron sputtering, Reactive ion etching, and CVD(Chemical Vapor Deposition) techniques. Superconducting state test for STJ was succeeded in 4K with liquid helium cooling system. Their performance indicators such ie energy gap, normal resistance, normal resistivity, dynamic resistance, dynamic resistivity, and quality factor were measured from I-V curve. Fabricated Nb/Al STJ shows $11\%$ higher FWHM energy resolution than genuine Nb STJ.

Color Filter Based on a Sub-Wavelength Patterned Poly-Silicon Grating Fabricated using Laser Interference Lithography (광파장 이하의 주기를 갖는 다결정 실리콘 격자 기반의 컬러필터)

  • Yoon, Yeo-Taek;Lee, Hong-Shik;Lee, Sang-Shin;Kim, Sang-Hoon;Park, Joo-Do;Lee, Ki-Dong
    • Korean Journal of Optics and Photonics
    • /
    • v.19 no.1
    • /
    • pp.20-24
    • /
    • 2008
  • A color filter was proposed and demonstrated by incorporating a subwavelength patterned 1-dimensional grating in poly silicon. It was produced by employing the laser interference lithography method, providing much wider effective area compared to the conventional e-beam lithography. A $SiO_2$ layer was introduced on top of the silicon grating layer as a mask for the etching of the silicon, facilitating the etching of the silicon layer. It was theoretically found that the selectivity of the filter was also improved thanks to the oxide layer. The parameters for the designed device include the grating pitch of 450 nm, the grating height of 100 nm and the oxide-layer height of 200 nm. As for the fabricated filter, the spectral pass band corresponded to the blue color centered at 470 nm and the peak transmission was about 40%. Within the effective area of $3{\times}3mm^2$, the variation in the relative transmission efficiency and in the center wavelength was less than 10% and 2 nm respectively. Finally, the influence of the angle of the incident beam upon the transfer characteristics of the device was investigated in terms of the rate of the relative transmission efficiency, which was found to be equivalent to 1.5%/degree.

The surface kinetic properties between $BCl_3/Cl_2$/Ar plasma and $Al_2O_3$ thin film

  • Yang, Xue;Kim, Dong-Pyo;Um, Doo-Seung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.169-169
    • /
    • 2008
  • To keep pace with scaling trends of CMOS technologies, high-k metal oxides are to be introduced. Due to their high permittivity, high-k materials can achieve the required capacitance with stacks of higher physical thickness to reduce the leakage current through the scaled gate oxide, which make it become much more promising materials to instead of $SiO_2$. As further studying on high-k, an understanding of the relation between the etch characteristics of high-k dielectric materials and plasma properties is required for the low damaged removal process to match standard processing procedure. There are some reports on the dry etching of different high-k materials in ICP and ECR plasma with various plasma parameters, such as different gas combinations ($Cl_2$, $Cl_2/BCl_3$, $Cl_2$/Ar, $SF_6$/Ar, and $CH_4/H_2$/Ar etc). Understanding of the complex behavior of particles at surfaces requires detailed knowledge of both macroscopic and microscopic processes that take place; also certain processes depend critically on temperature and gas pressure. The choice of $BCl_3$ as the chemically active gas results from the fact that it is widely used for the etching o the materials covered by the native oxides due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. In this study, the surface reactions and the etch rate of $Al_2O_3$ films in $BCl_3/Cl_2$/Ar plasma were investigated in an inductively coupled plasma(ICP) reactor in terms of the gas mixing ratio, RF power, DC bias and chamber pressure. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by AFM and SEM. The chemical states of film was investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts.

  • PDF

Fabrication of Optically Active Nanostructures for Nanoimprinting

  • Jang, Suk-Jin;Cho, Eun-Byurl;Park, Ji-Yun;Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.393-393
    • /
    • 2012
  • Optically active nanostructures such as subwavelength moth-eye antireflective structures or surface enhanced Raman spectroscopy (SERS) active structures have been demonstrated to provide the effective suppression of unwanted reflections as in subwavelength structure (SWS) or effective enhancement of selective signals as in SERS. While various nanopatterning techniques such as photolithography, electron-beam lithography, wafer level nanoimprinting lithography, and interference lithography can be employed to fabricate these nanostructures, roll-to-roll (R2R) nanoimprinting is gaining interests due to its low cost, continuous, and scalable process. R2R nanoimprinting requires a master to produce a stamp that can be wrapped around a quartz roller for repeated nanoimprinting process. Among many possibilities, two different types of mask can be employed to fabricate optically active nanostructures. One is self-assembled Au nanoparticles on Si substrate by depositing Au film with sputtering followed by annealing process. The other is monolayer silica particles dissolved in ethanol spread on the wafer by spin-coating method. The process is optimized by considering the density of Au and silica nano particles, depth and shape of the patterns. The depth of the pattern can be controlled with dry etch process using reactive ion etching (RIE) with the mixture of SF6 and CHF3. The resultant nanostructures are characterized for their reflectance using UV-Vis-NIR spectrophotometer (Agilent technology, Cary 5000) and for surface morphology using scanning electron microscope (SEM, JEOL JSM-7100F). Once optimized, these optically active nanostructures can be used to replicate with roll-to-roll process or soft lithography for various applications including displays, solar cells, and biosensors.

  • PDF

Comparative simulation of microwave probes for plasma density measurement and its application

  • Kim, Dae-Ung;Yu, Sin-Jae;Kim, Si-Jun;Lee, Jang-Jae;Kim, Gwang-Gi;Lee, Yeong-Seok;Yeom, Hui-Jung;Lee, Ba-Da;Kim, Jeong-Hyeong;O, Wang-Yeol
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.185.2-185.2
    • /
    • 2016
  • The plasma density is an essential plasma parameter describing plasma physics. Furthermore, it affects the throughput and uniformity of plasma processing (etching, deposition, ashing, etc). Therefore, a novel technique for plasma density measurement has been attracting considerable attention. Microwave probe is a promising diagnostic technique. Various type of cutoff, hairpin, impedance, transmission, and absorption probes have been developed and investigated. Recently, based on the basic type of probes, modified flat probe (curling and multipole probes), have been developing for in situ processing plasma monitoring. There is a need for comparative study between the probes. It can give some hints on choosing the reliable probe and application of the probes. In this presentation, we make attempt of numerical study of different kinds of microwave probes. Characteristics of frequency spectrum from probes were analyzed by using three-dimensional electromagnetic simulation. The plasma density, obtained from the spectrum, was compared with simulation input plasma density. The different microwave probe behavior with changes of plasma density, sheath and pressure were found. To confirm the result experimentally, we performed the comparative experiment between cutoff and hairpin probes. The sheath and collision effects are corrected for each probe. The results were reasonably interpreted based on the above simulation.

  • PDF

Dependence of Gas Sensing Properties of Embossed TiO2 Thin Films on Links Between Hollow Hemispheres (엠보싱 TiO2 박막에서 링크 형상 제어에 따른 가스 감도 변화)

  • Moon, Hi-Gyu;Park, Hyung-Ho;Yoon, Seok-Jin;Jang, Ho-Won
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.8
    • /
    • pp.639-645
    • /
    • 2012
  • Embossed $TiO_2$ thin films with high surface areas are achieved using soft-templates composed of monolayer polystyrene beads. The form of links between the beads in the templates is controlled by varying the $O_2$ plasma etching time on the templates, resulting in various templates with close-linked, nano-linked, and isolated beads. Room-temperature deposition of $TiO_2$ on the plasma-treated templates and calcination at $550^{\circ}C$ result in embossed films with tailored links between anatase $TiO_2$ hollow hemispheres. Although all the embossed films have similar surface areas, the sensitivity of films with nano-linked $TiO_2$ hollow hemispheres to 500 ppm CO and ethanol gases are much higher than that of films with close-linked and isolated hollow hemispheres, and the detection limits of them are as low as 0.6 ppm for CO and 0.1 ppm for ethanol. The strong correlation of sensitivity with the form of links between hollow hemispheres reveals the critical role of potential barriers formed at the links. The facile, large-scale, and on-chip fabrication of embossed $TiO_2$ films with nano-linked hollow hemispheres on Si substrate and the high sensitivity without the aid of additives give us a sustainable competitive advantage over various methods for the fabrication of highly sensitive $TiO_2$-based sensors.