• Title/Summary/Keyword: Plasma-etching ratio

Search Result 219, Processing Time 0.025 seconds

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • Lee, Su-Jin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Effect of Process Parameters on TSV Formation Using Deep Reactive Ion Etching (DRIE 공정 변수에 따른 TSV 형성에 미치는 영향)

  • Kim, Kwang-Seok;Lee, Young-Chul;Ahn, Jee-Hyuk;Song, Jun Yeob;Yoo, Choong D.;Jung, Seung-Boo
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.11
    • /
    • pp.1028-1034
    • /
    • 2010
  • In the development of 3D package, through silicon via (TSV) formation technology by using deep reactive ion etching (DRIE) is one of the key processes. We performed the Bosch process, which consists of sequentially alternating the etch and passivation steps using $SF_6$ with $O_2$ and $C_4F_8$ plasma, respectively. We investigated the effect of changing variables on vias: the gas flow time, the ratio of $O_2$ gas, source and bias power, and process time. Each parameter plays a critical role in obtaining a specified via profile. Analysis of via profiles shows that the gas flow time is the most critical process parameter. A high source power accelerated more etchant species fluorine ions toward the silicon wafer and improved their directionality. With $O_2$ gas addition, there is an optimized condition to form the desired vertical interconnection. Overall, the etching rate decreased when the process time was longer.

The Electrical Improvement of PZT Thin Films Etched into CF4/(Cl2+Ar) Plasma

  • Koo Seong-Mo;Kim Kyoung-Tae;Kim Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.5 no.6
    • /
    • pp.223-226
    • /
    • 2004
  • The PZT thin films are one of well-known materials that has been widely studied for ferroelectric random access memory (FRAM). We etched the PZT thin films by $CF_{4}/(Cl_{2}+Ar)$ plasma and investigated improvement in etching damage by $O_{2}$ annealing. The maximum etch rate of the PZT thin films was 157 nrn/min and that the selectivity of the PZT thin films to Pt was 3.1 when $CF_{4}(30{\%})$ was added to a $Cl_{2}(80{\%})/Ar(20{\%})$ gas mixing ratio. To improve the ferroelectric properties of PZT thin films after etching, the samples were annealed for 10 min at various temperatures in $O_{2}$ atmosphere. After $O_{2}$ annealing, the remanent polarization of the asdeposited films was $34.6{\mu}/cm^{2}$ and the sample annealed at 650, 550, and $450^{\circ}C$ was 32.8, 22.3, and $18.6{\mu}/cm^{2}$, respectively. PZT thin films with $O_{2}$ annealing at $450^{\circ}C$ retained $77{\%}$ of their original polarization at 106 cycles. Also as the annealing temperature increased, the fatigue properties improved. And the leakage current was decreased gradually and almost recovered to the as-deposited value after the annealing at $450^{\circ}C$.

Nanofabrication of InP/InGaAsP 2D photonic crystals using maskless laser holographic method (레이저 홀로그래피 방법과 반응성 이온식각 방법을 이용한 InP/InGaAsP 광자 결정 구조 제작)

  • 이지면;이민수;이철욱;오수환;고현성;박상기;박문호
    • Korean Journal of Optics and Photonics
    • /
    • v.15 no.4
    • /
    • pp.309-312
    • /
    • 2004
  • Two-dimensionally arrayed nanocolumn lattices were fabricated by using double-exposure laser holographic method. The hexagonal lattice was formed by rotating the sample with 60 degree while the square lattice by 90 degree before the second laser-exposure. The size and period of nanocolumns could be controlled accurately from 125 to 145 nm in diameter and 220 to 290 nm in period for square lattice by changing the incident angle of laser beam. The reactive ion etching for a typical time of 30 min using CH$_4$/H$_2$ plasma enhanced the aspect-ratio by more than 1.5 with a slight increase of the bottom width of columns.

A Study on the Etching Characateristics of TiW Films using BCl$_3$/SF6/ gas chemistries (BCl$_3$/SF6 gas chemistries에 의한 TiW막의 식각특성 연구)

  • 권광호;김창일;윤선진;김상기;백규하;남기수
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.1-8
    • /
    • 1997
  • The surface properties after plasma etching of TiW alloy using the chemistries of BCl$_{3}$ and SF$_{6}$ gases with varying mixing ratio have been investigated using XPS(X-ray photoelectron spectrocopy). The elements existed on the etched sampled have been extracted with BCL$_{3}$/SF$_{6}$ ratio and their chemical binding states have also been analysed. It was confirmed that the thickness of native oxide formed on the TiW films is thinner than 10nm by using Ar sputtering. At the same time, the roughness of etched surface has been esamnied using AFM (atomic force microscopy). on the basis of the basis of this results, the relations between the caanges of oxygen contents detected by XPS and the rouhness of etched surface have been discussed. And the etch rate and etched profile of Tiw films have been examined and the changes of the etch rate and etched prfile have been discussed with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound has been proposed. Ti-S compound seems to make a role of passivation layer that surpresses Ti-O formation.ion.

  • PDF

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 polyimide 박막의 패터닝 연구)

  • Kang, Pil-Seung;Kim, Chang-Il;Kim, Sang-Gi
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI mm Was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was $8300{\AA}/min$ and vertical profile was approximately acquired $90^{\circ}$ at $CF_{4}/(CF_{4}+O_{2})$ of 0.2. The selectivies of polyimide to PR and $SiO_{2}$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of $O_{2}/CF_{4}$ were investigated by optical emission spectrometer (OES).

  • PDF

A Study on the Silicon Etching Characteristics in ECR using ${SF_6}/{Cl_2}$ Gas Mixtures (${SF_6}/{Cl_2}$ 혼합비에 따른 실리콘 식각 특성 고찰)

  • 이상균;강승열;권광호;이진호;조경익;이형종
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.2
    • /
    • pp.114-119
    • /
    • 2000
  • Etch characteristics of SF6/CI2 electron cyclotron resonance (ECR) plasmas have been investigated. Surface reaction of gas plasma with polysilicon was also analysed using X-ray photoelectron spectroscopy (XPS). At the same time, the relationship between surface reaction and the etched profile of polysilicon was examined using XPS. The etch rate of polysilicon and oxide increases with increasing flow rate of SF6 in the SF6/CI2 gas mixture, and tis selectivity also increase also increase. It was also found that as increasing flow rate of SF6 in the SF6/CI2 gas mixture, the atomic% of chlorine detected at surface region decrease, but F and S contents increase. At the same time, when the mixing ratio of SF6 gas increases, the anisotropy of etched polysilicon is sharply decreased in the 0%~10% range of the SF6 mixing ratio, but is rarely varied in the range over 10%, in spite of the large variations in flow rates. It can be explained that the bonding of S-Si due to SiSx(x$\leq$2) compound formed on the etched surface suppress the formation of Si-Cl and 'or Si-F bonding in the silicon etching.

  • PDF

Optical Characteristics of Iron Silicide Films Prepared by Plasma CVD (Plasma CVD에 의해 제조된 Iron Silicide 박막의 광학적 특성)

  • Kim, Kyung-soo;Yoon, Yong-soo;Jung, Il-Hyun
    • Applied Chemistry for Engineering
    • /
    • v.10 no.3
    • /
    • pp.343-348
    • /
    • 1999
  • The iron silicide films were prepared by chemical vapor deposition method using rf-plasma in variations of substrate temperature. rf-power, and ratio of $SiH_4$ and Fe-precursor. While iron silicide films are generally grown by ion beam synthesis (IBS) method of multi-step process, it is confirmed that iron silicide or $\beta$-phase consolidated $Fe_aSi_bC_cH_d$ was formed by one-step process in this study. The characteristics of films is variable because the different amounts of carbon and hydrogen was involved in the films as a function of dilute ratio of Fe-precursors and silane. It was shown that the different characteristics of films in carbon and hydrogen following the ratio of Fe-precursor and silane. The optical gap energy of films fabricated according to substrate temperature was invariant because active site brought in desorption of hydrogen was limiled. When rf-power was above 240 watt, the optical gap energy turned out to have high values because of dangling bonds increased by etching.

  • PDF

The Study on the Etching Characteristics of (Ba, Sr)TiO$_3$ Film by Inductively Coupled Plasma (유도결합 플라즈마에 의한(Ba, Sr)TiO$_3$ 박막의 식각 특성 연구)

  • 김승범;이영준;염근영;김창일
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.4
    • /
    • pp.56-62
    • /
    • 1999
  • In this study, (Ba, Sr)$TiO_3$ thin films were etched with $Cl_2$/Ar gas mixing ratio in an inductively coupled plasma (ICP) by varying the etching parameter such as rf power, dc bias voltage, and chamber pressure. The etch rate was 56 nm/min under $Cl_2$/($Cl_2$+Ar) gas mixing ratio of 0.2, rf power of 600 W, dc bias voltage of 250 V, and chamber pressure of 5 mTorr. At this time, the selectivity of BST to Pt, $SiO_2$ was respectively 0.52, 0.43. The surface reaction of the etched (Ba, Sr)$TiO_3$ thin films was investigated with X-ray photoelectron spectroscopy (XPS). Ba is removed by chemical reaction between Sr and Cl to remove Sr. Ti is removed by chemical reaction such as $TiCl_4$ with ease. The results of secondary ion mass spectrometer (SIMS) analysis compared with the results of XPS analysis and the results were the same.

  • PDF

Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma ($BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구)

  • Kim, Dong-Pyo;Um, Doo-Seunng;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF