• Title/Summary/Keyword: Plasma-enhanced atomic layer deposition

Search Result 74, Processing Time 0.024 seconds

Structural, Optical and Electrical Properties of N-doped ZnO Nanofilms by Plasma Enhanced Atomic Layer Deposition (플라즈마 원자층 증착 방법을 이용한 N-doped ZnO 나노박막의 구조적.광학적.전기적 특성)

  • Kim, Jin-Hwan;Yang, Wan-Youn;Hahn, Yoon-Bong
    • Korean Chemical Engineering Research
    • /
    • v.49 no.3
    • /
    • pp.357-360
    • /
    • 2011
  • N-doped ZnO nanofilms were prepared by plasma enhanced atomic layer deposition method. $Zn(C_{2}H_{5})_{2}$, $O_{2}$ and $N_{2}$ were used as Zn, O and N sources, respectively, for N-doped ZnO films under variation of radio frequency (rf) power from 50-300W. Structural, optical and electrical properties of as-grown ZnO films were investigated with Xray diffraction(XRD), photoluminescence(PL) and Hall-effect measurements, respectively. Nitrogen content and p-type conductivity in ZnO nanofilms increased with the rf power.

Growth of Aluminum Nitride Thin Films by Atomic Layer Deposition and Their Applications: A Review (원자층 증착법을 이용한 AlN 박막의 성장 및 응용 동향)

  • Yun, Hee Ju;Kim, Hogyoung;Choi, Byung Joon
    • Korean Journal of Materials Research
    • /
    • v.29 no.9
    • /
    • pp.567-577
    • /
    • 2019
  • Aluminum nitride (AlN) has versatile and intriguing properties, such as wide direct bandgap, high thermal conductivity, good thermal and chemical stability, and various functionalities. Due to these properties, AlN thin films have been applied in various fields. However, AlN thin films are usually deposited by high temperature processes like chemical vapor deposition. To further enlarge the application of AlN films, atomic layer deposition (ALD) has been studied as a method of AlN thin film deposition at low temperature. In this mini review paper, we summarize the results of recent studies on AlN film grown by thermal and plasma enhanced ALD in terms of processing temperature, precursor type, reactant gas, and plasma source. Thermal ALD can grow AlN thin films at a wafer temperature of $150{\sim}550^{\circ}C$ with alkyl/amine or chloride precursors. Due to the low reactivity with $NH_3$ reactant gas, relatively high growth temperature and narrow window are reported. On the other hand, PEALD has an advantage of low temperature process, while crystallinity and defect level in the film are dependent on the plasma source. Lastly, we also introduce examples of application of ALD-grown AlN films in electronics.

Initial Performance Degradation of Hydrogen-Fueled Ceramic Fuel Cell with Plasma-Enhanced Atomic Layer-Deposited Ultra-Thin Electrolyte (플라즈마 원자층증착 초박막전해질 수소 세라믹연료전지의 초기성능 저하)

  • JI, SANGHOON
    • Transactions of the Korean hydrogen and new energy society
    • /
    • v.32 no.5
    • /
    • pp.340-346
    • /
    • 2021
  • The initial electrochemical performance of ceramic fuel cell with thin-film electrolyte fabricated by plasma-enhanced atomic layer deposition method was evaluated in terms of peak power density ratio, open circuit voltage ratio, and activation/ohmic resistance ratios at 500℃. Hydrogen and air were used as anode fuel and cathode fuel, respectively. The peak power density ratio reduced as ~52% for 30 min, which continually decreased as time increased but degradation rate gradually decreased. The open circuit voltage ratio decreased with respect time; however, its behavior was evidently different from the reduction behavior of the peak power density. The activation resistance ratio increased as ~127% for 30 min, which was almost similar with the reduction behavior of the peak power density ratio.

Plasma nitridation of atomic layer deposition-Al2O3 by NH3 in PECVD

  • Cha, Ham cho rom;Cho, Young Joon;Chang, Hyo Sik
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.304.1-304.1
    • /
    • 2016
  • We have investigated the effect of plasma nitridation of atomic layer deposited-Al2O3 films of monocrystalline Si wafers and the thermal properties of nitridated Al2O3 films. Nitridation was performed on Al2O3 to form aluminum oxynitride (AlON) using NH3 plasma treatment in a plasma-enhanced chemical vapor deposition and it was conducted at temperature of $400^{\circ}C$ with various plasma power condition. After nitridation, we performed firing and forming gas annealing (FGA). For each step, we have observed the minority carrier lifetime and the implied Voc by using quasi-Steady-State photoconductance (QSSPC). We confirmed a tendency to increase the minority carrier lifetime and the implied Voc after the nitridation. On the other hand, the minority carrier lifetime and the implied Voc was decreased after Firing and forming gas annealing (FGA). To get more information, we studied properties of the plasma treated Al2O3 films by using Secondary Ion Mass Spectroscopy (SIMS) and X-ray Photoelectron Spectroscopy (XPS).

  • PDF

Characteristics on Silicon Oxynitride Stack Layer of ALD-Al2O3 Passivation Layer for c-Si Solar Cell (결정질 실리콘 태양전지 적용을 위한 ALD-Al2O3 패시베이션 막의 산화질화막 적층 특성)

  • Cho, Kuk-Hyun;Cho, Young Joon;Chang, Hyo Sik
    • Korean Journal of Materials Research
    • /
    • v.25 no.5
    • /
    • pp.233-237
    • /
    • 2015
  • Silicon oxynitride that can be deposited two times faster than general SiNx:H layer was applied to fabricate the passivation protection layer of atomic layer deposition (ALD) $Al_2O_3$. The protection layer is deposited by plasma-enhanced chemical vapor deposition to protect $Al_2O_3$ passivation layer from a high temperature metallization process for contact firing in screen-printed silicon solar cell. In this study, we studied passivation performance of ALD $Al_2O_3$ film as functions of process temperature and RF plasma effect in plasma-enhanced chemical vapor deposition system. $Al_2O_3$/SiON stacks coated at $400^{\circ}C$ showed higher lifetime values in the as-stacked state. In contrast, a high quality $Al_2O_3$/SiON stack was obtained with a plasma power of 400 W and a capping-deposition temperature of $200^{\circ}C$ after the firing process. The best lifetime was achieved with stack films fired at $850^{\circ}C$. These results demonstrated the potential of the $Al_2O_3/SiON$ passivated layer for crystalline silicon solar cells.

Synthesis of Graphene by Plasma Enhanced Chemical Vapor Deposition and Its transfer for Device Application

  • Seo, Dong-Ik;Han, Jeong-Yun;Kim, Eon-Jeong;Park, Wan-Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.277-277
    • /
    • 2010
  • In this report, we present a very effective growing method of graphene using plasma enhanced chemical vapor deposition(PECVD). The graphene is successfully grown on copper substrate. Low temperature growing is obtained with methane and hydrogen plasma. The graphene layers are analyzed by Raman spectroscopy and atomic force microscope. We also provide a transfer technique of graphene layer onto silicon substrate to build up various kinds of application devices.

  • PDF

Application of Plasma Processes in Atomic Layer Deposition (ALD 공정에서의 플라즈마 응용)

  • Lee, U-Jae;Yun, Hye-Won;Lee, Dong-Gwon;Yun, Eun-Yeong;Lee, Ha-Jin;Gwon, Se-Hun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.05a
    • /
    • pp.82-82
    • /
    • 2015
  • 원자층 단위의 정밀 제어가 가능한 원자층 증착법(Atomic Layer Deposition)은 반도체, 디스플레이, 에너지, MEMS 등 다양한 분야에서 점차 그 응용 범위를 확대하고 있다. 응용분야의 확대와 함께, 물질적 측면에서는 산화물 위주의 적용에서 나아가 금속층, 질화물 등 다양한 물질 개발로 이루어져 왔으며, 이는 precursor의 개발과 함께 공정적 측면에서 plasms를 이용한 plasma-enhanced atomic layer deposition (PEALD)의 개발과 함께 이루어져 왔다. 본 발표에서는 ALD 공정에서의 플라즈마의 활용에 대하여 논의하고, ALD 공정에서의 플라즈마 적용에 따른 영향을 살펴보았다.

  • PDF

Investigation of TaNx diffusion barrier properties using Plasma-Enhanced ALD for copper interconnection

  • Han, Dong-Seok;Mun, Dae-Yong;Gwon, Tae-Seok;Kim, Ung-Seon;Hwang, Chang-Muk;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.178-178
    • /
    • 2010
  • With the scaling down of ULSI(Ultra Large Scale Integration) circuit of CMOS(Complementary Metal Oxide Semiconductor)based electronic devices, the electronic devices become more faster and smaller size that are promising field of semiconductor market. However, very narrow line width has some disadvantages. For example, because of narrow line width, deposition of conformal and thin barrier is difficult. Besides, proportion of barrier width is large, thus resistance is high. Conventional PVD(Physical Vapor Deposition) thin films are not able to gain a good quality and conformal layer. Hence, in order to get over these side effects, deposition of thin layer used of ALD(Atomic Layer Deposition) is important factor. Furthermore, it is essential that copper atomic diffusion into dielectric layer such as silicon oxide and hafnium oxide. If copper line is not surrounded by diffusion barrier, it cause the leakage current and devices degradation. There are some possible methods for improving the these secondary effects. In this study, TaNx, is used of Tertiarybutylimido tris (ethylamethlamino) tantalum (TBITEMAT), was deposited on the 24nm sized trench silicon oxide/silicon bi-layer substrate with good step coverage and high quality film using plasma enhanced atomic layer deposition (PEALD). And then copper was deposited on TaNx barrier using same deposition method. The thickness of TaNx was 4~5 nm. TaNx film was deposited the condition of under $300^{\circ}C$ and copper deposition temperature was under $120^{\circ}C$, and feeding time of TaNx and copper were 5 seconds and 5 seconds, relatively. Purge time of TaNx and copper films were 10 seconds and 6 seconds, relatively. XRD, TEM, AFM, I-V measurement(for testing leakage current and stability) were used to analyze this work. With this work, thin barrier layer(4~5nm) with deposited PEALD has good step coverage and good thermal stability. So the barrier properties of PEALD TaNx film are desirable for copper interconnection.

  • PDF

Preparation and Characterization of $SnO_2$ Thin Film by Atomic Layer Deposition

  • Kwack, Young-Jin;Choi, Woon-Seop
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.250-250
    • /
    • 2009
  • Thin film of $SnO_2$ was fabricated from plasma enhanced atomic layer deposition technology with bubbler type injector system by using TEMASn (tetrakisethylmethylamino tin) precursor. Mostly crystalline of $SnO_2$ films can be obtained with oxygen plasma and with water at relatively low temperature of $150^{\circ}C$. $SnO_2$ was deposited as an uniform rate of $1.0A^{\circ}$/cycle. In order to obtain uniform film, a seed oxide material was used before TEMASn deposition in ALD process. The process parameters were controlled to obtain dense thin film by atomic deposition methodology. The morphology and characterization of thin film with optimized process condition will be discussed.

  • PDF

Characteristics of Hafnium Oxide Gate Dielectrics Deposited by Remote Plasma-enhanced Atomic Layer Deposition using Oxygen Plasma (산소 플라즈마를 이용하여 원거리 플라즈마 원자층 증착법으로 형성된 하프늄 옥사이드 게이트 절연막의 특성 연구)

  • Cho, Seung-Chan;Jeon, Hyeong-Tag;Kim, Yang-Do
    • Korean Journal of Materials Research
    • /
    • v.17 no.5
    • /
    • pp.263-267
    • /
    • 2007
  • Hafnium oxide $(HfO_2)$ films were deposited on Si(100) substrates by remote plasma-enhanced atomic layer deposition (PEALD) method at $250^{\circ}C$ using TEMAH [tetrakis(ethylmethylamino)hafnium] and $O_2$ plasma. $(HfO_2)$ films showed a relatively low carbon contamination of about 3 at %. As-deposited and annealed $(HfO_2)$ films showed amorphous and randomly oriented polycrystalline structure. respectively. The interfacial layer of $(HfO_2)$ films deposited using remote PEALD was Hf silicate and its thickness increased with increasing annealing temperature. The hysteresis of $(HfO_2)$ films became lower and the flat band voltages shifted towards the positive direction after annealing. Post-annealing process significantly changed the physical, chemical, and electrical properties of $(HfO_2)$ films. $(HfO_2)$ films deposited by remote PEALD using TEMAH and $O_2$ plasma showed generally improved film qualities compare to those of the films deposited by conventional ALD.