• Title/Summary/Keyword: Plasma spectroscopy

Search Result 1,104, Processing Time 0.03 seconds

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Chamber Monitoring with Residual Gas Analysis with Self-Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Lee, Hak-Seung;Park, Jeong-Geon;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.262.2-262.2
    • /
    • 2014
  • Plasma processing is an essential process for pattern etching and thin film deposition in nanoscale semiconductor device fabrication. It is necessary to maintain plasma chamber in steady-state in production. In this study, we determined plasma chamber state with residual gas analysis with self-plasma optical emission spectroscopy. Residual gas monitoring of fluorocarbon plasma etching chamber was performed with self-plasma optical emission spectroscopy (SPOES) and various chemical elements was identified with a SPOES system which is composed of small inductive coupled plasma chamber for glow discharge and optical emission spectroscopy monitoring system for measuring optical emission. This work demonstrates that chamber state can be monitored with SPOES and this technique can potentially help maintenance in production lines.

  • PDF

Polymerization of Tetraethoxysilane by Using Remote Argon/dinitrogen oxide Microwave Plasma

  • Chun, Tae-Il;Rossbach, Volker
    • Textile Coloration and Finishing
    • /
    • v.21 no.3
    • /
    • pp.19-25
    • /
    • 2009
  • Polymerization of tetraethoxysilane on a glass substrate was investigated by remote microwave plasma using argon with portions of nitrous oxide as carrier gas. Transparent layer like a thickness of 0.5 ${\mu}m$ 3 ${\mu}m$ were obtained, differing in chemical composition, depending on plasma power and treatment time as well as on ageing time. In general the milder the treatment and the shorter the ageing was, the higher was the content of organic structural elements in the layer. We have identified that the chemical structure of our samples composed of mainly Si O and Si C groups containing aliphatics, carbonyl groups. These results were obtained by X ray photon spectroscopy, Fourier transformed infrared spectroscopy, and scanning electron microscope combined with Energy dispersive X ray spectroscopy.

Improved Self Plasma-Optical Emission Spectroscopy for In-situ Plasma Process Monitoring (실시간 플라즈마공정 모니터링을 위한 Self Plasma-Optical Emission Spectroscopy 성능 향상)

  • Jo, Kyung Jae;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.75-78
    • /
    • 2017
  • We reports improved monitoring performance of Self plasma-optical emission spectroscopy (SP-OES) by augmenting a by-pass tube to a conventional straight (or single) tube type self plasma reactor. SP-OES has been used as a tool for the monitoring of plasma chemistry indirectly in plasma process system. The benefits of SP-OES are low cost and easy installation, but some semiconductor industries who adopted commercialized SP-OES product experiencing less sensitivity and slow sensor response. OH out-gas chemistry monitoring was performed to have a direct comparison of a conventional single type tube and a by-pass type tube, and fluid dynamic simulation on the improved hardware design was also followed. It is observed faster pumping out of OH from the chamber in the by-pass type SP-OES.

  • PDF

Silicon Etching Process of NF3 Plasma with Residual Gas Analyzer and Optical Emission Spectroscopy in Intermediate Pressure (잔류가스분석기 및 발광 분광 분석법을 통한 중간압력의 NF3 플라즈마 실리콘 식각 공정)

  • Kwon, Hee Tae;Kim, Woo Jae;Shin, Gi Won;Lee, Hwan Hee;Lee, Tae Hyun;Kwon, Gi-Chung
    • Journal of the Semiconductor & Display Technology
    • /
    • v.17 no.4
    • /
    • pp.97-100
    • /
    • 2018
  • $NF_3$ Plasma etching of silicon was conducted by injecting only $NF_3$ gas into reactive ion etching. $NF_3$ Plasma etching was done in intermediate pressure. Silicon etching by $NF_3$ plasma in reactive ion etching was diagnosed through residual gas analyzer and optical emission spectroscopy. In plasma etching, optical emission spectroscopy is generally used to know what kinds of species in plasma. Also, residual gas analyzer is mainly to know the byproducts of etching process. Through experiments, the results of optical emission spectroscopy during silicon etching by $NF_3$ plasma was analyzed with connecting the results of etch rate of silicon and residual gas analyzer. It was confirmed that $NF_3$ plasma etching of silicon in reactive ion etching accords with the characteristic of reactive ion etching.

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

Oxygen Plasma Characterization Analysis for Plasma Etch Process

  • Park, Jin-Su;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.78
    • /
    • pp.29-31
    • /
    • 2007
  • This paper is devoted to a study of the characterization of the plasma state. For the purpose of monitoring plasma condition, we experiment on reactive ion etching (RIE) process. Without actual etch process, generated oxygen plasma, measurement of plasma emission intensity. Changing plasma process parameters, oxygen flow, RF power and chamber pressure have controlled. Using the optical emission spectroscopy (OES), we conform to the unique oxygen wavelength (777nm), the most powerful intensity region of the designated range. Increase of RF power and chamber pressure, emission intensity is increased. oxygen flow is not affect to emission intensity.

Recognition of Plasma- Induced X-Ray Photoelectron Spectroscopy Fault Pattern Using Wavelet and Neural Network (웨이블렛과 신경망을 이용한 플라즈마-유도 X-Ray Photoelectron Spectroscopy 고장 패턴의 인식)

  • Kim, Soo-Youn;Kim, Byung-Whan
    • Proceedings of the KIEE Conference
    • /
    • 2006.04a
    • /
    • pp.135-137
    • /
    • 2006
  • To improve device yield and throughput, faults in plasma processing equipment should be quickly and accurately diagnosed. Despite many useful information of ex-situ sensor measurements, their applications to recognize plasma faultshave not been investigated. In this study, a new technique to identify fault causes by recognizing X-ray photoelectron spectroscopy (XPS) using neural network and continuous wavelet transformation (CWT). The presented technique was evaluated with the plasma etch data. A totalof 17 experiments were conducted for model construction. Model performance was investigated from the perspectives of training error, testing error, and recognition accuracy with respect to various thresholds. CWT-based BPNN models demonstrated a higher prediction accuracy of about 26%. Their advantages over pure XPS-based models were conspicuous in all three measures at small networks.

  • PDF

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Analysis of BNNT(Boron Nitride Nano Tube) synthesis by using Ar/N2/H2 60KW RF ICP plasma in the difference of working pressure and H2 flow rate

  • Cho, I Hyun;Yoo, Hee Il;Kim, Ho Seok;Moon, Se Youn;Cho, Hyun Jin;Kim, Myung Jong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.179-179
    • /
    • 2016
  • A radio-frequency (RF) Inductively Coupled Plasma (ICP) torch system was used for boron-nitride nano-tube (BNNT) synthesis. Because of electrodeless plasma generation, no electrode pollution and effective heating transfer during nano-material synthesis can be realized. For stable plasma generation, argon and nitrogen gases were injected with 60 kW grid power in the difference pressure from 200 Torr to 630 Torr. Varying hydrogen gas flow rate from 0 to 20 slpm, the electrical and optical plasma properties were investigated. Through the spectroscopic analysis of atomic argon line, hydrogen line and nitrogen molecular band, we investigated the plasma electron excitation temperature, gas temperature and electron density. Based on the plasma characterization, we performed the synthesis of BNNT by inserting 0.5~1 um hexagonal-boron nitride (h-BN) powder into the plasma. We analysis the structure characterization of BNNT by SEM (Scanning Electron Microscopy) and TEM (Transmission Electron Microscopy), also grasp the ingredient of BNNT by EELS (Electron Energy Loss Spectroscopy) and Raman spectroscopy. We treated bundles of BNNT with the atmospheric pressure plasma, so that we grow the surface morphology in the water attachment of BNNT. We reduce the advancing contact angle to purity bundles of BNNT.

  • PDF