• Title/Summary/Keyword: Plasma etching process

Search Result 420, Processing Time 0.028 seconds

Reactive Ion Etching of InP Using $CH_4/H_2$ Inductively Coupled Plasma ($CH_4/H_2$유도결합 플라즈마를 이용한 InP의 건식 식각에 관한 연구)

  • 박철희;이병택;김호성
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.161-168
    • /
    • 1998
  • Reactive ion etching process for InGaAs/InP using the CH4/H2 high density inductively coupled plasma was investigated. The experimental design method proposed by Taguchi was utilized to cover the whole parameter range while maintaining reasonable number of actual experiments. Results showed that the ICP power mainly affects surface roughness and verticality of the sidewall, bias power does etch rate and verticality, CH4 gas concentraion does the verticality and etch rate, and the distance between the induction coil and specimen mostly affects the surface roughness. It was also observed that the chamber pressure is the dominant parameter for the etch rate and verticality of the sidewall. The optimum condition was ICP power 700W, bias power 150 W, 15% $CH_4$, 7.5 mTorr, and 14 cm distance, resulting in about 3 $\mu\textrm{m}$/hr etch rate with smooth surfaces and vertical mesa sidewalls.

  • PDF

Adhesion Improvement for Copper Process in TFT-LCD

  • Tu, Kuo-Yuan;Tsai, Wen-Chin;Lai, Che-Yung;Gan, Feng-Yuan;Liau, Wei-Lung
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.1640-1644
    • /
    • 2006
  • The first issue that should be overcome in copper process is its poor adhesive strength between pure copper film and glass substrate. In this study, defining the adhesive strength of pure copper film on various substrates and clarifying the key deposition parameters are presented for the investigation of copper process. First, using different kinds of surface plasma treatments were studied and the results showed that the adhesive strength was not improved even though the roughness of glass substrate surface was increased. Second, adding an adhesive layer between glass substrate and pure copper film was used to enhance the adhesion. Based on the data in the present paper, adopting copper alloy film as an adhesive layer can have capability preventing peeling problem in copper process. Besides, Cu/Cu alloy structure could be etched with the same etchant with better taper angle than the one with single layer of Cu. Unlike Cu/Mo structure, there is no residual problem for Cu/Cu alloy structure during etching process. Finally, this structure was examined in electrical test without significant difference in comparison with the conventional metal process.

  • PDF

Research on Fabrication of Silicon Lens for Optical Communication by Photolithography Process (포토리소그래피를 통한 광통신용 실리콘 렌즈 제작 및 특성 연구)

  • Park, Junseong;Lee, Daejang;Rho, Hokyun;Kim, Sunggeun;Heo, Jaeyeong;Ryu, Sangwan;Kang, Sung-Ju;Ha, Jun-Seok
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.25 no.2
    • /
    • pp.35-39
    • /
    • 2018
  • In order to improve the coupling efficiency, a collimator lens that collects the light emitted from the laser diode at a wide angle to the core of the optical fiber is essential. Glass mold method using a mold is widely used as a collimator lens currently used. Although this method is inexpensive to produce, it is difficult to form precisely and quality problems such as spherical aberration. In this study, the precision of surface processing was improved by replacing the existing glass mold method with the semiconductor process, and the material of the lens was changed to silicon suitable for the semiconductor process. The semiconductor process consists of a photolithography process using PR and a dry etching process using plasma. The optical coupling efficiency was measured using an ultra-precision alignment system for the evaluation of the optical characteristics of the silicon lens. As a result, the optical coupling efficiency was 50% when the lens diameter was $220{\mu}m$, and the optical coupling property was 5% or less with respect to the maximum optical coupling efficiency in the lens diameter range of $210-240{\mu}m$.

Effects of $CH_{2}F_{2}$ and $H_2$ flow rates on process window for infinite etch selectivity of silicon nitride to PVD a-C in dual-frequency capacitively coupled plasmas

  • Kim, Jin-Seong;Gwon, Bong-Su;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.250-251
    • /
    • 2009
  • For the fabrication of a multilevel resist (MLR) based on a very thin amorphous carbon (a-C) layer an $Si_{3}N_{4}$ hard-mask layer, the selective etching of the $Si_{3}N_{4}$ layer using physical-vapor-deposited (PVD) a-C mask was investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in $CH_{2}F_{2}/H_{2}/Ar$ plasmas : HF/LF powr ratio ($P_{HF}/P_{LF}$), and $CH_{2}F_{2}$ and $H_2$ flow rates. It was found that infinitely high etch selectivities of the $Si_{3}N_{4}$ layers to the PVD a-C on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The $H_2$ and $CH_{2}F_{2}$ flow ratio was found to play a critical role in determining the process window for infinite $Si_{3}N_{4}$/PVDa-C etch selectivity, due to the change in the degree of polymerization. Etching of ArF PR/BARC/$SiO_x$/PVDa-C/$Si_{3}N_{4}$ MLR structure supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the $Si_{3}N_{4}$ layer.

  • PDF

A study on the characteristics of planar type inductively coupled plasma and its applications on the selective oxide etching (평면형 유도결합 플라즈마의 특성 및 선택적 산화막 식각 응용에 관한 연구)

  • 양일동;이호준;황기웅
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.1
    • /
    • pp.91-96
    • /
    • 1997
  • The electrical characteristics and the plasma parameters of planar inductively coupled plasmas (ICP) have been measured. The resistance of the total load including the coil and the plasma varied from 1 to 4 W and the inductance from 1.5 m to 2 mH when the power was changed from 100 to 1000 W and the pressure from 1 to 10 mTorr. The density of electron measured by Langmuir probe was over $10^{11}/\textrm{cm}^3$ and the temperature varied between 3 and 5 eV as the process conditions were changed. Bias modulation was adopted as a new method to improve the selectivity of $SiO_2$on Si in $C_4F_8$ (octafluorocyclobutane) plasma. The selectivity was improved as the duty ratio decreased, but the etch rate of $SiO_2$decreased below 400$\AA$/min. $H_2$addition to $C_4F_8$ plasma showed that the etch selectivity could be higher than 50 and the etch rate of $SiO_2$over 2000$\AA$/min when 60% $H_2$was added.

  • PDF

Abatement of CF4 Using RF Plasma with Annular Shape Electrodes Operating at Low Pressure (환상형상 전극구조를 갖는 저압 RF plasma를 이용한 CF4 제거)

  • Lee, Jae-Ok;Hur, Min;Kim, Kwan-Tae;Lee, Dae-Hoon;Song, Young-Hoon;Lee, Sang-Yun;Noh, Myung-Keun
    • Journal of Korean Society for Atmospheric Environment
    • /
    • v.26 no.6
    • /
    • pp.690-696
    • /
    • 2010
  • Abatement of perfluorocompounds (PFCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. In order to meet this circumstance, we have developed a radio frequency (RF) driven plasma reactor with multiple annular shaped electrodes, characterized by an easy installment between a processing chamber and a vacuum pump. Abatement experiment has been performed with respect to $CF_4$, a representative PFCs widely used in the plasma etching process, by varying the power, $CF_4$ and $O_2$ flow rates, $CF_4$ concentration, and pressure. The influence of these variables on the $CF_4$ abatement was analyzed and discussed in terms of the destruction & removal efficiency (DRE), measured with a Fourier transform infrared (FTIR) spectrometer. The results revealed that DRE was enhanced with the increase in the discharge power and pressure, but dropped with the $CF_4$ flow rate and concentration. The addition of small quantity of $O_2$ lead to the improvement of DRE, which, however, leveled off and then decreased with $O_2$ flow rate.

Selective Dry Etching of GaAs/AlGaAs Layer for HEMT Device Fabrication (HEMT 소자 제작을 위한 GaAs/AlGaAs층의 선택적 건식식각)

  • 김흥락;서영석;양성주;박성호;김범만;강봉구;우종천
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.28A no.11
    • /
    • pp.902-909
    • /
    • 1991
  • A reproducible selective dry etch process of GaAs/AlGaAs Heterostructures for High Electron Mobility Transistor(HEMT) Device fabrication is developed. Using RIE mode with $CCl_{2}F_{2}$ as the basic process gas, the observed etch selectivity of GaAs layer with respect to GaAs/$Al_{0.3}Ga_{0.7}$As is about 610:1. Severe polymer deposition problem, parialy generated from the use of $CCl_{2}F_{2}$ gas only, has been significantly reduced by adding a small amount of He gas or by $O_{2}$ plasma ashing after etch process. In order to obtain an optimized etch process for HEMT device fabrication, we com pared the properties of the wet etched Schottky contact with those of the dry etched one, and set dry etch condition to approach the characteristics of Schottky diode on wet etched surface. By applying the optimized etch process, the fabricated HEMT devices have the maximum transconductance $g_{mext}$ of 224 mS/mm, and have relatively uniform distribution across the 2inch wafer in the value of 200$\pm$20mS/mm.

  • PDF

Development of Large-area Plasma Sources for Solar Cell and Display Panel Device Manufacturing

  • Seo, Sang-Hun;Lee, Yun-Seong;Jang, Hong-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.08a
    • /
    • pp.148-148
    • /
    • 2011
  • Recently, there have been many research activities to develop the large-area plasma source, which is able to generate the high-density plasma with relatively good uniformity, for the plasma processing in the thin-film solar cell and display panel industries. The large-area CCP sources have been applied to the PECVD process as well as the etching. Especially, the PECVD processes for the depositions of various films such as a-Si:H, ${\mu}c$-Si:H, Si3N4, and SiO2 take a significant portion of processes. In order to achieve higher deposition rate (DR), good uniformity in large-area reactor, and good film quality (low defect density, high film strength, etc.), the application of VHF (>40 MHz) CCP is indispensible. However, the electromagnetic wave effect in the VHF CCP becomes an issue to resolve for the achievement of good uniformity of plasma and film. Here, we propose a new electrode as part of a method to resolve the standing wave effect in the large-area VHF CCP. The electrode is split up a series of strip-type electrodes and the strip-type electrodes and the ground ones are arranged by turns. The standing wave effect in the longitudinal direction of the strip-type electrode is reduced by using the multi-feeding method of VHF power and the uniformity in the transverse direction of the electrodes is achieved by controlling the gas flow and the gap length between the powered electrodes and the substrate. Also, we provide the process results for the growths of the a-Si:H and the ${\mu}c$-Si:H films. The high DR (2.4 nm/s for a-Si:H film and 1.5 nm/s for the ${\mu}c$-Si:H film), the controllable crystallinity (~70%) for the ${\mu}c$-Si:H film, and the relatively good uniformity (1% for a-Si:H film and 7% for the ${\mu}c$-Si:H film) can be obtained at the high frequency of 40 MHz in the large-area discharge (280 mm${\times}$540 mm). Finally, we will discuss the issues in expanding the multi-electrode to the 8G class large-area plasma processing (2.2 m${\times}$2.4 m) and in improving the process efficiency.

  • PDF

Etch Characteristics of NbOx Nanopillar Mask for the Formation of Si Nanodot Arrays (Si Nanodot 배열의 형성을 위한 NbOx 나노기둥 마스크의 식각 특성)

  • Park, Ik Hyun;Lee, Jang Woo;Chung, Chee Won
    • Applied Chemistry for Engineering
    • /
    • v.17 no.3
    • /
    • pp.327-330
    • /
    • 2006
  • We investigated the usefulness of $NbO_{x}$ nanopillars as an etching mask of dry etching for the formation of Si nanodot arrays. The $NbO_{x}$ nanopillar arrays were prepared by the anodic aluminum oxidation process of Al and Nb thin films. The etch rate and etch profile of $NbO_{x}$ nanopillar arrays were examined by varying the experimental conditions such as the concentration of etch gas, coil rf power, and dc bias voltage in the reactive ion etch system using the inductively coupled plasma. As the concentration of $Cl_{2}$ gas increased, the etch rate of $NbO_{x}$nanopillars decreased. With increasing coil rf power and dc bias voltage, the etch rates were found to increase. The etch characteristics and etch mechanism of $NbO_{x}$ nanopillars were investigated by varying the etch time under the selected etch conditions.

SAW Filter Made of ZnO/Nanocrystalline Diamond Thin Films (ZnO/나노결정다이아몬드 적층 박막 SAW 필터)

  • Jung, Doo-Young;Kang, Chan-Hyoung
    • Journal of the Korean institute of surface engineering
    • /
    • v.42 no.5
    • /
    • pp.216-219
    • /
    • 2009
  • A surface acoustic wave (SAW) filter structure was fabricated employing $4{\mu}m$ thick nanocrystalline diamond (NCD) and $2.2{\mu}m$ thick ZnO films on Si wafer. The NCD film was deposited in an $Ar/CH_4$ gas mixture by microwave plasma chemical vapor deposition method. The ZnO film was formed over the NCD film in an RF magnetron sputter using ZnO target and $Ar/O_2$ gas. On the top of the two layers, copper film was deposited by the RF sputter and inter digital transducer (IDT) electrode pattern (line/space : $1.5/1.5{\mu}m$) was defined by the photolithography including a lift-off etching process. The fabricated SAW filter exhibited the center frequency of 1.66 GHz and the phase velocity of 9,960 m/s, which demonstrated that a giga Hertz SAW filter can be realized by utilizing the nanocrystalline diamond thin film.