• Title/Summary/Keyword: Plasma etcher

Search Result 73, Processing Time 0.027 seconds

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

Matching Improvement of RF Matcher for Plasma Etcher (식각장비의 RF 정합모듈 성능 개선)

  • Sul, Yong-Tae;Lee, Eui-Yong;Kwon, Hyuk-Min
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.9 no.2
    • /
    • pp.327-332
    • /
    • 2008
  • New RF matcher module has been proposed in this paper for improvement of RF matcher in plasma etcher system using in semiconductor and display panel manufacturing process. New designed warm gear was used instead of bevel gear in new driving module, and control system was re-arranged with one-chip micro-process technique. The matching performance of new match module was improved in various process condition with reduction of backlash and matching time, and flexible motion of motor compared commercial match module. However this new type RF match module will improve the productivity in etching process of the mass production line.

Effect of defects on lifetime of silicon electrodes and rings in plasma etcher (플라즈마 에쳐용 실리콘 전극과 링의 수명에 미치는 결함의 영향)

  • Eum, Jung-Hyun;Chae, Jung-Min;Pee, Jae-Hwan;Lee, Sung-Min;Choi, Kyoon;Kim, Sang-Jin;Hong, Tae-Sik;Hwang, Choong-Ho;Ahn, Hak-Joon
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.20 no.2
    • /
    • pp.101-105
    • /
    • 2010
  • Silicon electrode and ring in a plasma etcher those are in contact with harsh plasma suffer from periodic heating and cooling during their lifetime. This causes the silicon components failure due to thermal stress remaining the persistent slip bands (PSBs) on their surfaces. The factors that determine the lifetime of silicon electrode and ring were discussed with respect to silicon ingot. The impurity level and the average defect concentration measured with glow discharge mass spectrometer (GDMS) and microwave photo-conductance decay (${\mu}$-PCD) were compared with the grade of silicon ingots those are divided to slip-free and slip-allowed ingot. Some silp-allowed samples showed planar defects along <110> direction on {001} surface. The role of these defects was suggested from the viewpoint of the lifetime of silicon components.

Effects of Nozzle Locations on the Rarefied Gas Flows and Al Etch Rate in a Plasma Etcher (플라즈마 식각장치내 노즐의 위치에 따른 희박기체유동 및 알루미늄 식각률의 변화에 관한 연구)

  • 황영규;허중식
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.26 no.10
    • /
    • pp.1406-1418
    • /
    • 2002
  • The direct simulation Monte Carlo(DSMC) method is employed to calculate the etch rate on Al wafer. The etchant is assumed to be Cl$_2$. The etching process of an Al wafer in a helicon plasma etcher is examined by simulating molecular collisions of reactant and product. The flow field inside a plasma etch reactor is also simulated by the DSMC method fur a chlorine feed gas flow. The surface reaction on the Al wafer is simply modelled by one-step reaction: 3C1$_2$+2Allongrightarrow1 2AIC1$_3$. The gas flow inside the reactor is compared for six different nozzle locations. It is found that the flow field inside the reactor is affected by the nozzle locations. The Cl$_2$ number density on the wafer decreases as the nozzle location moves toward the side of the reactor. Also, the present numerical results show that the nozzle location 1, which is at the top of the reactor chamber, produces a higher etch rate.

Etcher 전극용 플라즈마 전해산화 피막의 특성 평가 기술

  • Min, Gwan-Sik;Cha, Deok-Jun;Yun, Ju-Yeong;Sin, Yong-Hyeon;Gang, Du-Hong;Seong, Gi-Hun;Kim, Seong-Cheol;Yun, Sang-Hui;Kim, Jin-Tae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.119-119
    • /
    • 2012
  • 경질 Anodizing에 비해 플라즈마 전해산화(Plasma Electrolytic Oxidation, PEO)에 의해 생성된 알루미늄 피막의 내식성이 우수하다고 알려져 있다. PEO는 기존의 Anodizing 피막에 비해 내구성이 우수한 피막이기 때문에 일부 산업 분야에서 기존의 피막보다 PEO 피막을 선호하고 있다. 플라즈마 전해산화는 400V 이상 고전압하에서 Anode에 생성되는 스파크에 의한 산화반응을 이용하여 금속 표면에 산화 피막을 생성하는 공정이다. 전처리 과정을 거칠 필요가 없기 때문에 친환경적이며, 공정 과정도 복잡하지 않다. PEO의 여러 가지 특성(내전압, 플라즈마 부식성, 화학 부식성, 실시간 파티클)을 한국표준과학연구원이 보유한 장비들을 사용하여 분석하여, 기존의 Anodizing 피막과 비교 평가하였다. 이 실험 결과를 바탕으로 기존의 피막보다 우수한 특성을 가진 PEO 피막을 개발 진행 중에 있다.

  • PDF

Dry Etching of Polysilicon by the RF Power and HBr Gas Changing in ICP Poly Etcher (ICP Poly Etcher를 이용한 RF Power와 HBr Gas의 변화에 따른 Polysilicon의 건식식각)

  • Nam, S.H.;Hyun, J.S.;Boo, J.H.
    • Journal of the Korean Vacuum Society
    • /
    • v.15 no.6
    • /
    • pp.630-636
    • /
    • 2006
  • Scale down of semiconductor gate pattern will make progress centrally line width into transistor according to the high integration and high density of flash memory semiconductor. Recently, the many researchers are in the process of developing research for using the ONO(oxide-nitride-oxide) technology for the gate pattern give body to line breadth of less 100 nm. Therefore, etch rate and etch profile of the line width detail of less 100 nm affect important factor in a semiconductor process. In case of increasing of the platen power up to 50 W at the ICP etcher, etch rate and PR selectivity showed good result when the platen power of ICP etcher has 100 W. Also, in case of changing of HBr gas flux at the platen power of 100 W, etch rate was decreasing and PR selectivity is increasing. We founded terms that have etch rate 320 nm/min, PR selectivity 3.5:1 and etch slope have vertical in the case of giving the platen power 100 W and HBr gas 35 sccm at the ICP etcher. Also notch was not formed.

The generation of Uniform High Density Plasma of Inductively Coupled Plasma Etcher Enhanced by Alternating Axial Magnetic Field (축방향 자기장의 주기적 단속을 이용한 유도결합형 플라즈마 식각장비의 고품위 플라즈마 형성)

  • 정재성;김철식
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.589-592
    • /
    • 1998
  • The performance of inductively coupled plasma (ICP) is enhanced by axial magnetic field driven by alternating current Helmholtz coils in this work. Langmuir pobe is used to characterize the plasma, and the etching performance is demonstrated with phororesist stripping process. It is shown that its density and uniformity depends on the frequency of driving current to the magnetic field.

  • PDF

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

Dry Etching of Ru Electrodes using O2/Cl2 Inductively Coupled Plasmas

  • Kim, Hyoun Woo
    • Corrosion Science and Technology
    • /
    • v.2 no.5
    • /
    • pp.238-242
    • /
    • 2003
  • The characteristics of Ru etching using $O_2/Cl_2$ plasmas were investigated by employing inductively coupled plasma (ICP) etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with the gas flow ratio, bias power, total gas flow rate, and source power were scrutinized. A high etching slope (${\sim}86^{\circ}$) and a smooth surface after etching was attained using $O_2/Cl_2$ inductively coupled plasma.

A Study on the Plasma Etching of Ru Electrodes using $O_2/Cl_2$ Helicon Discharges

  • Kim, Hyoun-Woo;Hwang, Woon-Suk
    • Corrosion Science and Technology
    • /
    • v.2 no.4
    • /
    • pp.189-193
    • /
    • 2003
  • The Ru etching using $O_2/C_{12}$ plasmas has been studied by employing the helicon etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with varied process variables were investigated. The Ru etching slope at the optimized etching condition was measured to be $84^{\circ}$. We reveal that the Ru etching using $O_2/C_{12}$ plasma generates the $RuO_2$ thin film. Possible mechanism of Ru etching is discussed.