• Title/Summary/Keyword: Patterning layer

Search Result 230, Processing Time 0.03 seconds

Electrical properties of Organic TFT patterned by shadow-mask with all layer

  • Lee, Joo-Won;Kim, Jai-Kyeong;Jang, Jin;Ju, Byeong-Kwon
    • Proceedings of the IEEK Conference
    • /
    • 2006.06a
    • /
    • pp.543-544
    • /
    • 2006
  • Pentacene thin film transistors fabricated without photolithographic patterning were fabricated on the plastic substrates. Both the organic/inorganic thin films and metallic electrode were patterned by shifting the position of the shadow mask which accompanies the substrate throughout the deposition process. By using an optically transparent zirconium oxide ($ZrO_2$) as a gate insulator and octadecyltrimethoxysilane (OTMS) as an organic molecule for self-assembled monolayer (SAM) to increase the adhesion between the plastic substrate and gate insulator and the mobility with surface treatment, high-performance transistor with field effect mobility $.66\;cm^2$/V s and $I_{on}/I_{off}$>$10^5$ was formed on the plastic substrate. This technique will be applicable to all structure deposited at low temperature and suitable for an easy process for flexible display.

  • PDF

Characteristics of Laser Direct Patterned Indium Tin Oxide Layer by Overlapping Rates of Laser Beam

  • Li, Zhao-Hui;Ahn, Min-Hyung;Choi, Kyung-Min;Im, Seung-Hyeok;Jung, Kyung-Seo;Cho, Eou-Sik;Kwon, Sang-Jik
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1496-1499
    • /
    • 2009
  • A diode-pumped Nd:$YVO_4$ laser was used to obtain indium tin oxide (ITO) patterns on glass substrate with various overlapping rates. The results showed that the overlapping rate of laser beam influences on the edge structure of ITO pattern and the surface roughness of ablated groove bottom. At a laser repetition rate of 40 kHz, the optimized condition of overlapping rate was 75 %.

  • PDF

MAGNETIC PROPERTIES OF CoCrPt NANODOTS ARRAY MADE BY PS-PMMA BLOCK COPOLYMER TEMPLATE (블록 공중합체를 이용한 CoCrPt 나노점 배열의 자기적 성질 연구)

  • Jeong, Jong-Ryul;Im, Mi-Young;Shin, Sung-Chul;Park, Dae-Geun;Kwon, Ki-Young;Jung, Hee-Tae;Yang, Seung-Man
    • Proceedings of the Korean Magnestics Society Conference
    • /
    • 2002.12a
    • /
    • pp.34-35
    • /
    • 2002
  • CoCrPt alloy films are attracting wide attention for applications to high-density magnetic recording media and hard magnetic layer in spin valve structure due to their high coercivity and strong magnetocrystalline anisotropy. Diblock copolymer templates are one of the most promising candidates for nanoscale patterning otherwise inaccessible by lithographic procedures [1]. In this study, we have investigated magnetic properties of Co$\sub$68/Cr$\sub$18/Pt$\sub$14/ nanodot arrays made by self-assembling polystyrene-block-methyl methacrylate ((PS-b-PMMA), (Mn = 82.5 Kg/mol, with a 1.12 polydispersity)) diblock copolymer. (omitted)

  • PDF

Liquid crystal alignment on patterned-alignment films

  • Lias, Jais Bin;Oo, Thet Naing;Yazawa, Tomohiro;Kimura, Munehiro;Akahane, Tadashi
    • Journal of Information Display
    • /
    • v.12 no.2
    • /
    • pp.101-107
    • /
    • 2011
  • To come up with a bistable liquid crystal (LC) device using unpolarized UV light, single-step laser patterning on a photoalignment layer using a photomask was proposed to achieve an equilibrium configuration of LC molecules in contact with a periodically patterned substrate. The patterns were formed by stripes of alternating random planar and homeotropic anchoring on a submicrometer scale in the order of $0.5{\mu}m$. Two possible configurations of bistable LC cells that can be obtained by combining a micropatterned surface formed with alternating random-planar- and homeotropic-alignment with planar- or homeotropic-alignment surfaces were proposed. The alignment properties of the two proposed models were investigated, along with the microscopic switching behavior of micropatterned nematic LC cells.

Mathematical Evaluation of Response Behaviors of Indicator Organisms to Toxic Materials (지표생물의 독성물질 반응 행동에 대한 수리적 평가)

  • Chon, Tae-Soo;Ji, Chang-Woo
    • Environmental Analysis Health and Toxicology
    • /
    • v.23 no.4
    • /
    • pp.231-245
    • /
    • 2008
  • Various methods for detecting changes in response behaviors of indicator specimens are presented for monitoring effects of toxic treatments. The movement patterns of individuals are quantitatively characterized by statistical (i.e., ANOVA, multivariate analysis) and computational (i.e., fractal dimension, Fourier transform) methods. Extraction of information in complex behavioral data is further illustrated by techniques in ecological informatics. Multi-Layer Perceptron and Self-Organizing Map are applied for detection and patterning of response behaviors of indicator specimens. The recent techniques of Wavelet analysis and line detection by Recurrent Self-Organizing Map are additionally discussed as an efficient tool for checking time-series movement data. Behavioral monitoring could be established as new methodology in integrative ecological assessment, tilling the gap between large-scale (e.g., community structure) and small-scale (e.g., molecular response) measurements.

Femtosecond Laser Application to Optical Memory and Microfluidics

  • Sohn Ik-Bu;Lee Man-Seop;Woo Jeong-Sik;Lee Sang-Man;Chung Jeong-Yong
    • Journal of the Optical Society of Korea
    • /
    • v.9 no.3
    • /
    • pp.92-94
    • /
    • 2005
  • We present a novel method for three-dimensional optical memory and microchannel embedded in fused silica glass. Three-dimensional dot patterning with a femtosecond laser pulse and observation with optical microscope are performed. Dot patterns are created by use of a 0.42 N.A. objective to focus 100 fs laser pulses inside the material. We demonstrate data storage with $2{\mu}m$ dot pitch and $7{\mu}m$layer spacing $(36 Gbit/cm^3)$. A three-dimensional microchannel acting as microfluidic and microoptical components is directly fabricated inside a silica glass. The optical micrographs of the microchannel are obtained by a digital camera of a microscope.

Dual Fabry-Perot Interferometer to Improve the Color Purity of Displays

  • Keun Soo Shin;Jun Yong Kim;Yun Seon Do
    • Current Optics and Photonics
    • /
    • v.7 no.2
    • /
    • pp.191-199
    • /
    • 2023
  • We propose a dual Fabry-Perot interferometer (DFPI) structure that combines two Fabry-Perot interferometers. The structure is designed to have spectral peaks in the red, green, and blue regions simultaneously, to be applicable to R, G, and B subpixels without any patterning process. The optimized structure has been fabricated on a glass substrate using a thermal evaporation technique. When the DFPI structure was attached to the quantum-dot color-conversion layer, the full width at half maximum values of the green and red spectra decreased by 47.29% and 51.07% respectively. According to CIE 1931 color space, the DFPI showed a 37.66% wider color gamut than the standard RGB color coordinate. Thus it was experimentally proven that the proposed DFPI structure improved color purity. This DFPI structure will be useful in realizing a display with high color purity.

Surface modification of Poly-(dimethylsiioxane) using polyelectrolYte multilayers and its characterization (다층의 고분자 전해질을 이용한 Poly-(dimetnylsiloxane)의 표면 개질 및 특성)

  • Shim, Hyun-Woo;Lee, Chang-Hee;Lee, Ji-Hye;Hwang, Taek-Sung;Lee, Chang-Soo
    • KSBB Journal
    • /
    • v.23 no.3
    • /
    • pp.263-270
    • /
    • 2008
  • A poly-(dimethylsiloxane) (PDMS) surface modified by the successive deposition of the polyelectrolytes, poly-(allylamine hydrochloride) (PAH), poly-(diallyldimethylammoniumchloride) (PDAC), poly-(4-ammonium styrenesulfonic acid) (PSS), and poly-(acrylic acid) (PAA), was presented for the application of selective cell immobilization. It is formed via electrostatic attraction between adjacent layers of opposite charge. The modified PDMS surface was examined using static contact angle measurements and fourier transform infrared (FT-IR) spectrophotometer. The wettability of the PDMS surface could be easily controlled and functionalized to be biocompatible through regulation of layer numbers. The modified PDMS surface provides appropriate environment for adhesion to cells, which is essential technology for cell patterning with high yield and viability in the patterning process. This method is reproducible, convenient, and rapid. It could be applied to the fabrication of biological sensing, patterning, microelectronics devices, screening system, and study of cell-surface interaction.

UV-nanoimprint Patterning Without Residual Layers Using UV-blocking Metal Layer (UV 차단 금속막을 이용한 잔류층이 없는 UV 나노 임프린트 패턴 형성)

  • Moon Kanghun;Shin Subum;Park In-Sung;Lee Heon;Cha Han Sun;Ahn Jinho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.4 s.37
    • /
    • pp.275-280
    • /
    • 2005
  • We propose a new approach to greatly simplify the fabrication of conventional nanoimprint lithography (NIL) by combined nanoimprint and photolithography (CNP). We introduce a hybrid mask mold (HMM) made from UV transparent material with a UV-blocking Cr metal layer placed on top of the mold protrusions. We used a negative tone photo resist (PR) with higher selectivity to substrate the CNP process instead of the UV curable monomer and thermal plastic polymer that has been commonly used in NIL. Self-assembled monolayer (SAM) on HMM plays a reliable role for pattern transfer when the HMM is separated from the transfer layer. Hydrophilic $SiO_2$ thin film was deposited on all parts of the HMM, which improved the formation of SAM. This $SiO_2$ film made a sub-10nm formation without any pattern damage. In the CNP technique with HMM, the 'residual layer' of the PR was chemically removed by the conventional developing process. Thus, it was possible to simplify the process by eliminating the dry etching process, which was essential in the conventional NIL method.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • Kim, Jong-Gyu;Min, Gyeong-Seok;Kim, Chan-Gyu;Nam, Seok-U;Gang, Ho-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF